News & Events

Effectiveness of Anti-China Chip Rules in Doubt

New restrictions from the U.S. Department of Commerce (DoC) on global exports of advanced chipmaking technology aimed at Chinese chip designers like Alibaba and Baidu are “overblown” and unlikely to blunt the growth of Chinese companies, at least according to one longtime industry observer.

On Aug. 12, the DoC’s Bureau of Industry and Security (BIS) established new controls over exports of technology from several countries that the BIS said are essential to U.S. national security. The measure is the latest volley in a tech war between the U.S. and China that started under the administration of former U.S. President Donald Trump, and has continued under President Joe Biden.

The technologies include two substrates of ultra-wide bandgap semiconductors—gallium oxide and diamond—as well as electronic computer-aided design (ECAD) software for the development of chips with gate-all-around field-effect transistor (GAA FET) structures, according to BIS. Such technologies can be used in military and civilian applications, the BIS said.

While the BIS didn’t name countries whose imports stand to be negatively impacted by the controls, the measures are aimed at one adversary, Paul Triolo, senior VP for China at Albright Stonebridge, said in an interview with EE Times.

Triolo, who has advanced degrees in international relations and electrical engineering, has spent more than 25 years in senior positions in the U.S. government.

 

“Chinese fabless-design firms are clearly the target of the rule, as probably more than a dozen, including tech giants like Alibaba, Baidu, and others, will eventually be seeking to design semiconductors using the most advanced processing nodes, such as 3 nm and 2 nm,” Triolo said. “There are no other countries that are considered U.S. ‘adversaries’ that have companies in comparable positions in terms of advanced fabless designs.”

Karl Breidenbach, associate director at Boston Consulting, told EE Times that the BIS’s announcement to further tighten U.S. export controls on equipment and materials may well impede the advancement of next-generation wide-bandgap (WBG) technologies for power electronics and leading-edge logic.

“Multi-lateral export controls will further increase complexity and create an additional burden for industrializing gallium oxide and diamond-based semiconductors at scale,” Breidenbach said. “It is likely that the pace of innovation could be negatively impacted in fields like MOCVD (metal organic chemical vapor deposition) epitaxy, where Japanese and European companies recently had made great strides.”

Chinese aluminum producers have a dominant share of refined gallium oxide, which is used as the base material for wafers. Japan leads in prime-grade gallium oxide wafers, he added.

“There is a global interdependence which will be affected by the new rules,” Breidenbach said.

The measures are an escalation leading up to an expected announcement of further controls on exports of deep ultraviolet (DUV) lithography equipment to China, according to an analyst who requested anonymity. Chinese chipmakers like Semiconductor Manufacturing International Corp. (SMIC) are currently allowed to import DUV tools.

The operations of overseas chipmakers operating in China would also be affected by the new rules, the analyst said. South Korea’s SK Hynix will need to “rethink” plans to upgrade existing chip facilities in China with GAA technology, the source said.

Triolo said there is “considerable pressure from Congress on the Department of Commerce to show that it is controlling new and emerging technologies that have potential military applications for export to Chinese firms. The general justification is Beijing’s military civilian fusion (MCF) initiative, and the potential for advanced semiconductor technology to be used in weapons systems or supporting systems, such as satellite networks. The Commerce Department is considering this now as leading foundries, such as Taiwan Semiconductor Manufacturing Corp. (TSMC) and Samsung, are beginning to roll out commercial processes at the 3 nm and eventually 2 nm level that will leverage the performance advantages provided by GAA technology.”

MCF is the Chinese Communist Party’s effort to develop the world’s most technologically advanced military, according to the U.S. State Department. A key part of MCF is the elimination of barriers between China’s private sector and its military. The Party is implementing this strategy by acquiring and diverting the world’s cutting-edge technologies—including through theft—in order to achieve military dominance, according to the State Department.

The EDA (electronic design automation) restrictions on GAA technology affect mostly companies in the U.S., such as Ansys, Cadence, Synopsys, and Siemens-owned Mentor Graphics, according to Dan Hutcheson, analyst at TechInsights.

It’s interesting to see the DoC use the old term “ECAD” versus the more modern “EDA”, which speaks to their knowledge of the technology, he says.

“Ironically, while the U.S. has had a huge lead in EDA software, heavy export controls have caused China to invest heavily in coming to parity,” Hutcheson told EE Times. “Five years ago, China’s EDA offerings were essentially unusable. Today, they are very competitive, with limitations.”

Hutcheson said his EDA comments are based on private conversations with industry executives. China’s best, most visible EDA players are Hejian, Amedac, Aerdai, and X-Epic, he added.

He said he heard Chinese firms have reached “a level where they are very competitive at 28 nm and above and competitive down to 14 nm. Given their speed of development, it’s my personal judgement they will hit state-of-the-art in three to four years, providing SMIC can reach 3 nm and 2 nm technology in the same timeframe.”

Triolo said the broader view of the Biden administration is that “new controls are needed to prevent certain Chinese domestic foundries from operating at 14 nm or below processes and for processes greater than 128 layers for memory production.”

Chinese memory chipmaker Yangtze Memory Technologies Co. (YMTC) planned to begin making NAND chips with 128-layer technology this year, the company’s former acting chairman said in late 2020.

U.S Senator Charles Schumer and other Democratic leaders in the U.S. have called for YMTC to be blacklisted, according to Reuters. Schumer’s office didn’t respond to attempts by EE Times to confirm the report.

“The still-unstated goal is to keep China two to three generations behind U.S. and other western companies in the manufacturing space,” Triolo said. “But the actual impact of new controls being considered, including for deep ultraviolet lithography, previously uncontrolled, is to give the U.S. government veto power over what any new foundry in China can produce.”

ASML, located in the Netherlands, is the world’s sole supplier of EUV and DUV lithography tools.

“Global commerce is driven by innovation—new ideas, and novel ways to apply old ones,” said Thea D. Rozman Kendler, assistant secretary of the Commerce for Export Administration, in prepared remarks. “BIS is vigilant in assessing the development of new technology and whether it may be used for civil and military purposes. Export controls are most effective when multilaterally imposed.”

 

MILITARY-USE CONCERNS ‘OVERBLOWN’

“The vast majority of applications of these technologies is for civilian commercial uses, for smartphones, IoT devices, semiconductors optimized for AI algorithms, etc.,” Triolo said. “The general nods to potential military uses of semiconductors based on the technology appear to be overblown.”

“On what Commerce should do, obviously that is the purview of the current administration and is part of a larger China strategy, which remains not very well defined in terms of goals,” he added. “On the specific approach that industry favors for technology-related controls, it would be narrow controls with clearly defined national security justifications.”

The new restrictions will potentially impact the world’s most advanced chipmakers.

“TSMC, Samsung, and Intel will eventually deploy GAA processes at the most advanced nodes,” Triolo said. “As long as Chinese fabless design companies can use these foundries to manufacture semiconductors, China can continue to remain at the cutting edge of semiconductor deployment. This is happening as other U.S. export controls are crippling the ability of domestic Chinese foundries to manufacture at advanced nodes, due to restrictions on advanced lithography systems, which are also required to manufacture at 3 nm and 2 nm.”

The new controls follow press reports that China’s SMIC is making chips at the 7 nm node, which surpasses the capability of any U.S. chipmaker, Doug Fuller, an associate professor at Copenhagen Business School, told EE Times.

“Commerce has been fighting a rear-guard action against broad controls, and the panic around SMIC’s supposed 7 nm has not made Commerce’s job any easier,” Fuller said.

 

OLD ALLIANCES COME INTO PLAY

The U.S. plans to implement the controls with its international partners under a pact dating back to the Cold War known as the Wassenaar Arrangement.

The new rule has been “coordinated via the Wassenaar Agreement, so it would be multilateral in nature,” Triolo said. “In terms of companies that could be affected, for this particular rule, it is the EDA tool makers that would be most affected, here Cadence, Synopsis, and Mentor. However, the wording of the rule remains unclear, and as written, the industry is pushing back because it is not clear that advanced versions of EDA tools can have GAA capabilities disaggregated from the overall software package.”

“No EDA tool is designed in such a way that GAA FET design capability can be separated out,” he added. “It is not clear exactly how this restriction would work.”

The U.S. controls a wider range of technologies, including equipment, software, and technology used to make chips, beyond the items agreed upon in the Wassenaar Arrangement, according to the BIS. The U.S. is home to the world’s largest suppliers of chipmaking equipment, companies including Applied Materials and Lam Research.

Those companies count on China for a large part of their sales. For example, Lam Research said in its latest quarterly results that China makes up about 31% of its revenue.

“Look at the revenue of leading U.S. companies like Applied Materials, Lam, KLA, plus Cadence, Synopsis, and Mentor, and how much of their revenue is from China,” Triolo says. “Implementing the controls has proven to be challenging because the export-control system was not designed for these types of companies or technologies, but for weapons of mass destruction-related technologies—which are much easier to define and for which a smaller number of companies are involved, without the global and complex supply chains at stake here.”

When the new rules went into effect, Alan Estevez, undersecretary of Commerce for Industry and Security, said, “When we recognize the risks as well as the benefits, and act in concert with our international partners, we can ensure that our shared security objectives are met, innovation is supported, and companies across the globe operate on a level playing field.”

By EETimes

Link:https://www.eetimes.com/effectiveness-of-anti-china-chip-rules-in-doubt/

商品分類

最新訊息