News & Events
- COMPUTEX 2023 Keynote & Forum Registration is Open Jensen Huang, Founder & CEO of NVIDIA, to be Keynote Speaker
COMPUTEX 2023 will be held at the Taipei Nangang Exhibition Center, Hall 1 & Hall 2, from May 30 to June 2 with over 1,000 exhibitors from 17 countries using 3,000 booths, focusing on six major themes: high-performance comp......more
- Using IC Programming, Provisioning for Device Security
With billions of devices connected to cloud applications and services, secure programming and provisioning of integrated circuits form the foundation for protecting chips. The journey to understand the security challenges ......more
- Arm reportedly developing chip prototype, potentially undermining its neutral business model
In the run-up to the planned IPO in New York, Arm is reportedly developing an advanced chip to showcase its design capability, risking its relationship with major customers, such as Qualcomm and MediaTek. Financial Times, qu......more
- NeoGene unlocks direct-to-silicon liquid cooling technology by novel IC package approach
NeoGene Tech, a Guangzhou-based Taiwanese thermal management solution provider, has in Q3 2022 supported Xiaomi to successfully launch its flagship 5G smartphone, Xiaomi 12S Ultra, with excellent thermal dissipation performance......more
- The 2023 VLSI TSA symposium explores trends in AI, energy saving, quantum computing, and chiplets
The 40th edition of the International VLSI Symposium on Technology, Systems and Applications (VLSI TSA) kicked off on April 17 in Hsinchu, Taiwan. The symposium focuses on the convergence of semiconductors and cutting-edge......more
- Will Germany settle for 28nm? TSMC's presence highlights misalignment between Berlin's semiconductor and defense policies
The vast stretch of land lying a kilometer north of the German city of Grossenhain, Saxony has long been a part of the country's military history: at the onset of World War 1, the fighter pilot Manfred von Richthofen, bette......more
- 6G Set to Primarily Be an Industrial IoT Network
BARCELONA, Spain—While 5G is still in the early stages of deployment in many markets, and most commercially available networks are non-standalone, the industry is already experimenting with the possibilities of next-gener......more
- Changes in India-Taiwan relations
According to Taiwan's representative to India, Baushuan Ger, Indian economic and trade authorities have been keen on forging ties with Taiwan, hoping to have Taiwanese firms construct plants in India. People may still be sk......more
- Why is Taiwan's chip fabrication sector worst hit by talent shortage?
"When I did my research at Stanford University, the cleanroom was mainly staffed by those from East Asia and India," recalled Dr. Chih-Huang Lai, Vice Dean of the College of Semiconductor Research under Taiwan's N......more
- CXL Testing Leverages PCIe Expertise
Every open standard needs a robust ecosystem if it is to be widely adopted—and that includes testing and verification capabilities. Just as vendors have rallied around the rapidly evolving Compute Express Link (CXL) ......more
- Nvidia Brings GPU Acceleration to Computational Lithography
Nvidia has built a software library for the acceleration of computational lithography workloads, enabling order-of-magnitude speedups for these workloads when combined with the latest GPU hardware. The library, CuLitho, will be......more
- Intel Co-Founder, Philanthropist Gordon Moore Dies at 94
SAN FRANCISCO, CALIFORNIA — Gordon Moore, the Intel Corp. co-founder who set the breakneck pace of progress in the digital age with a simple 1965 prediction of how quickly engineers would boost the capacity of co......more
- Open RAN, Private 5G Dominate Cellular Industry
BARCELONA, Spain—At last week’s Mobile World Congress 2023 (MWC23), Open RAN and private 5G were two of the biggest topics dictating cellular industry discussion. Technologists involved in hardware and software for ......more
- Pattern-Shaping System Speeds Up Chip Production
Applied Materials has introduced its new Centura Sculpta pattern-shaping system that promises to provide a cost-effective alternative to extreme ultraviolet (EUV) lithography double patterning used to print dense interconnect l......more
- EU Chips Act: Key Intellectual Property Considerations
The European Chips Act is the EU’s response to the global chip shortages we’ve seen since the onset of the Covid-19 pandemic. The legislation proposes a series of initiatives to support semiconductor R&D and man......more
- China Criticizes Dutch Plan to Curb Access to Chip Tools
BEIJING — China’s government on Thursday criticized the Netherlands for joining Washington in blocking Chinese access to technology to manufacture advanced processor chips on security and human rights groun......more
- Hailo Adds Vision Processor SoCs for Smart Cameras
Israeli chip startup Hailo has added three new vision AI chips designed for different use cases from the Hailo-8. While the Hailo-8 has mainly been used as a co-processor for vision processing in industrial edge boxes and aggre......more
- Avicena partners with ams OSRAM to enable high-volume future production of ultra-low energy chip-to-chip interconnects
San Diego, CA — March 6, 2023 — AvicenaTech Corp., a privately held company in Sunnyvale, CA, has partnered with ams OSRAM to develop high-volume manufacturing of GaN microLED arrays for its industry-leading Li......more
- EdgeQ to Debut Single Chip for 5G Private Networks
EdgeQ, a startup led by former Qualcomm executives, plans to introduce its first chip for 5G private networks in the middle of this year. Before that, the company is set to hold a technology demonstration with customer Vodafone......more
- U.S. Makes National Security Priority of CHIPS Subsidies
The U.S. Department of Commerce (DOC) is focusing its rules for companies that aim to win the largest part of the $52 billion in CHIPS Act subsidies on national security. Analysts told EE Times the U.S. expectations are set hig......more
- Korea's chip woes deepen as Samsung reportedly to post operating loss in memory business
South Korea's semiconductor industry, which Samsung Electronics and SK Hynix have led, faces a double whammy amid falling memory prices and a tighter US ban on China. According to Yonhap, due to the 42.5% fall in se......more
- International chip equipment maker expands R&D investment in South Korea and Taiwan
Japan-based chip equipment maker Ulvac has announced an investment project for a new technology R&D site. The site will be located in South Korea to satisfy client demand. According to multiple reports from Japanese medi......more
- Designing with Chiplets to Build The Dream Chip
In this episode, we talk about chiplets, 3D stacking, heterogenous integration, and multi-die systems design. Stanford University’s Subhasish Mitra talks about his keynote at the HiPEAC conference, where he talked about u......more
- Ambiq Launches AI SDK for Ultra-Low Power MCUs
Ambiq Micro is the latest microcontroller maker to build its own AI-focused software development kit (SDK). The combination of Ambiq’s Neural Spot AI SDK with its ultra-low power sub-threshold and near-threshold technolog......more
- DoD Testing Smart Repeaters With SLIC for 5G Networks
There is much excitement around 5G private networks and the use cases the technology can enable for many enterprises. Such networks promise to deliver the best of all worlds—purpose-built networks with unprecedented data ......more
- Imec Digs Deeper Into Chipmaking’s Sustainability Issue
LEUVEN, Belgium — As imec develops the next level of integrated circuit chips, Cédric Rolin, the institute’s program manager for sustainable semiconductor technologies and systems, and members of hi......more
- xEVs Opening New Opportunities for Sensor Development
Recent years have brought an exponential increase in the number of electronic gadgets and sensors used in automobile design. This will only continue as the sector continues to shift to e-mobility and autonomous driving. At the ......more
- AI-Powered Chip Design Goes Mainstream
AI in chip design has gone mainstream, with Synopsys’ AI-powered DSO (design space optimization) tool reaching 100 commercial tapeouts. The company’s DSO was released in 2020, with its first commercial tapeout in......more
- AI-designed chips reach scale with first 100 commercial tape-outs using Synopsys technology
Synopsys, Inc. reaches scale for AI-driven chip designs as major semiconductor customers register the first 100 commercial tape-outs with the company's Synopsys DSO.ai (Design Space Optimization AI) autonomous des......more
- Infineon expects improved MCU availability in 2H23, seeing big growth for auto, renewables and power infrastructures
At its latest conference call on Feb 2, Infineon said its Aurix MCU family is "on full ramp mode," adding that having gotten more wafers, it expects availability of automotive MCUs to improve in the second half of 202......more
- EU, U.S. Making Moves to Address Ethics in AI
The United States and European Union are divided by thousands of miles of the Atlantic Ocean, and their approaches to regulating AI are just as vast. The landscapes are also dynamic, with the latest change on the U.S. side set ......more
- Seoul Viosys Showcases the World’s First One-Chip Micro-LED Display Technology at ISE 2023 in Barcelona, Spain
Seoul Viosys (“SVC”) (KOSDAQ: 092190), a globally recognized optical semiconductor element manufacturer, will attend ‘Integrated Systems Europe (ISE) 2023,’ the biggest display expo in Europe, held in Ba......more
- Perceive Runs Transformers at the Edge with Second-Gen Chip
Perceive, the AI chip startup spun out of Xperi, has released a second chip with hardware support for transformers, including large language models (LLMs) at the edge. The company demonstrated sentence completion via RoBERTa, a......more
- Happy Chinese New Year
We wish you Happy the year of Rabbit. Things going as your planned in the Rabbit Year. ...more
- Samsung, Micron Battle for NAND Supremacy
Samsung Electronics and Micron Technology continue to fight for supremacy in the NAND market, with both companies recently announcing higher-density 3D NAND solutions—albeit with different nomenclatures. Samsung h......more
- Experts: U.S. Military Chip Supply Is Dangerously Low
The U.S. Department of Defense (DoD) will probably take years to wean itself from Asian chip supplies because of a dearth of investments in domestic production capacity, according to industry insiders and government observers. ......more
- Energous Expands Wireless Power Network Ecosystem
The Internet of Things (IoT) ecosystem is rapidly and incessantly expanding, with an ever-increasing number of connected devices thanks to the diffusion of smart technologies, the transformation and digitization processes creat......more
- 5G IoT Satellites Countdown for Takeoff
Barcelona, Spain–based startup Sateliot plans to be the first satellite operator to put up a 5G IoT constellation. Elon Musk’s SpaceX operation is launching the first Sateliot nanosatellite in February. Sateliot hop......more
- Market Cap 100: Chinese chip sector plows on despite sharp value drop in 2022
Asia's semiconductor companies are now facing the challenge of a global economic slowdown, as the pandemic-driven demand growth fades away, indicated the latest '2023 Asia Supply Chain (ASC) Top 100 Market Cap Companies......more
- From home appliance to automotive MCUs: China's Midea Group targets EV sector
Midea Group, China's largest maker of home appliances, has been extending its reach into semiconductors, especially the microcontrollers used in household appliances. Despite hurdles, the China-based appliance maker, which ......more
- The industry code behind the trade figures of Taiwan and Korea
For half a century, Taiwan and South Korea have been competitors in many different industry sectors, from shoemaking, garment, refrigerators and TVs, to PCs, handsets and semiconductors. In the 1990s, Taiwan took the lead in th......more
- IC design houses are cautious about Android smartphone shipments in 2023
With Samsung Electronics likely to revise its 2023 smartphone sales target downwards, sources at the Taiwan-based IC design firm say Oppo, Vivo, and other China-based brands are also planning to launch next year. Android smartp......more
- Startup Aims to Improve Chiplet Packaging
Chiplets are getting a lot of interest as of late, so much so that a Universal Chiplet Interconnect Express (UCIe) consortium recently formed to corral best practices into a standard. Now, a Silicon Valley startup, Eliyan ......more
- Nordic Semiconductor showcases leading Bluetooth LE, Matter, low-power Wi-Fi, and cellular IoT technology at CES 2023
Nordic Semiconductor will bring its full portfolio of wireless IoT products to CES 2023 on booth 52039 at the Venetian Expo. Highlights on the booth will include the company's Matter 1.0 solutions. Matter is a standard from......more
- Sonic Lift-Off Tech Aims to Reduce Semiconductor Costs
Semiconductor device manufacturing requires the availability of high-quality wafers with perfectly flat and smooth surfaces. The quality of a wafer’s surface is fundamental to ensuring high-performing and reliable devices......more
- How the CHIPS Act Will Impact Engineers
The $52 billion spending plan outlined in the CHIPS and Science Act that has been signed into law has significant implications for the semiconductor ecosystem—from chip manufacturers to engineers and production ......more
- Ansys to help train Indian engineers in electronics and semiconductors
As countries worldwide are building semiconductor ecosystems for national security reasons, India is trying to encourage advanced fabless semiconductors and deep technology. India's Ministry of Electronics & IT said ......more
- Rain Demonstrates AI Training on Analog Chip
Rain Neuromorphics has trained a deep learning network on an analog chip—a crossbar array of memristors—using the company’s analog-friendly training algorithms. The process required many orders of magnitude......more
- Server demand to remain in steady growth
Compared to the PC industry's deceleration, the server industry is expected to enjoy an on-year shipment growth in 2022 and another increase in 2023 as consumers' usage of Internet services has continued to expand, whil......more
- How Silicon Valley looks at the Taiwan miracle (9): Ethnic Chinese associations and industry leaders
In recent years, Silicon Valley has seen a growing cohort of Indian tech talent, some of whom run world-class tech firms. But what I hear is that there is only one Indian technology association in Silicon Valley, while the ethn......more
- Intel unveils 2D and 3D IC research breakthroughs to extend Moore's Law
At the IEEE International Electron Device Meeting (IEDM) 2022, Intel unveiled research breakthroughs in 2D and 3D IC packaging technologies fueling its innovation pipeline for keeping its promises to put a trillion tr......more
- Weighing Chip-Design-Verification Challenges for MedTech
Safety and security are huge and complex chip-design-verification challenges to be dealt with for medical technology (MedTech) applications. Acknowledging this, Lucio Lanza, managing partner of Lanza techVentures, asked panelis......more
- KYOCERA Develops New GaN Laser Chip, World’s Smallest* to be Mass-Produced from Silicon Working Substrate
Company unveils new process to create functioning 100 micron length micro-light sources with higher yield, lower cost — enabling short cavity lasers and micro-LEDs for autonomous driving, AR, and VR applications KYOTO,......more
- 6G Research Center in U.K. Working to Secure Funding
LONDON—6G Futures, the center for research, education, and innovation that King’s College, the University of Bristol, and the University of Strathclyde established a bit longer than a year ago, is formally seeking f......more
- Electromagnetic Simulation Tools Help Optimize Chip Design
The fast evolution of electronic technologies has allowed, in recent years, the creation of increasingly compact, performing, and efficient devices. The higher operating frequencies at which different classes of electronic comp......more
- Sourceability drives digital supply chain transformation, shaping new development for IC distributors
The IC distribution industry is a highly competitive market where speed is paramount, constituting an indispensable part of any discussion about restructuring electronic supply chains or building resilient supply chai......more
- IoT Enabler Thinks and Acts ‘Global Hyperlocal’
LONDON—As an e-scooter company, Wingz faced a relatively new fleet-management issue in 2021: Quickly deciphering where drivers of their free-range scooters were parking or abandoning them—so they could be found, ins......more
- Chip Sustainability Efforts Get Their Own Consortium
Going green is becoming table stakes no matter the sector, and the semiconductor industry formalized a commitment to sustainability by launching the Semiconductor Climate Consortium (SCC). SEMI, the industry association serv......more
- Japan to launch chip firm to mass produce sub-2nm chips in 5 years, says NHK
NHK, the Japanese public broadcasting company, has reported that a new semiconductor company will be jointly funded by eight Japanese conglomerates to develop cutting-edge logic semiconductors. The new company is called Rapi......more
- MediaTek introduces 4nm smartphone chip
MediaTek has introduced a new smartphone application processor series built on TSMC's second-generation 4nm process technology, and expects smartphones powered by the new chips to hit the market by the end of 2022. The n......more
- With RFAB2, TI Bumping Up Analog Chip Production
Not that anyone needed more proof that the analog segment in the electronics industry is booming, but Texas Instruments (TI) is serving it up anyway: On Sept. 29, TI announced it began initial chip production at RFAB2, a new 30......more
- Leaders in semiconductor in 2030 (8): South Korea's role in Chip 4 alliance
It is an established national policy of the US to rebuild the local semiconductor supply chain. US secretary of state Antony Blinken and secretary of the treasury Janet Yellen have both emphasized that the reliance on Taiwan fo......more
- ON Semiconductor to sell 200mm wafers to Japanese and US foundries under new strategic focus
Mercuria Holdings, a fund backed by the Development Bank of Japan and Japanese trading house Itochu will buy a 200mm wafer fab from US-based ON Semiconductor, according to Nikkei Asia. The purchase will be jointly made wit......more
- Five Electronics Component Trends To Watch
Rapid advances in the internet of things (IoT), artificial intelligence (AI), wireless technologies, and wireless charging are some of the biggest drivers behind the development of new electronic components in 2022. Coupled wit......more
- Calumet Aims to Close IC Substrate Gap in U.S.
Calumet Electronics is working to be one of the first IC substrate suppliers in the United States, helping to fill a critical gap in the domestic electronics supply chain. “Calumet will be one of the first, if not the ......more
- Silicon Labs CEO Transforms Company to IoT Chip Designer
Silicon Labs’ exit from any business not related to wireless connectivity and IoT devices marks the beginning of the company’s journey to becoming a “pure-play” IoT chip designer, according to Silicon La......more
- U.S. Chip Sanctions ‘Put Temporary Checkmate on China’
EXCLUSIVE INTERVIEWS The latest U.S. salvo in the chip war against China will set back its domestic chipmakers by generations, while global suppliers of semiconductors and fab tools will incur billions of dollars i......more
- Leaders in semiconductor in 2030 (2): Taiwan tech industry's era of no borders
Sun Tzu's "The Art of War" maintains that troops start out with their own country's supplies and then get replenishments from the enemies. Taiwan may be small, but it can make use of different strategies. We m......more
- IC inspection labs score record profits in 3Q22
Taiwan-based IC analysis and inspection labs including Materials Analysis Technology (MA-tek) and Integrated Service Technology (iST) and Materials have reported impressive profit results for the third quarter of 2022......more
- US expands restrictions on chip exports to China and revises sanction rules
The US Department of Commerce's Bureau of Industry and Security (BIS) has announced that it is implementing a series of targeted updates to its export controls to restrict China's ability to both purchase and manufactur......more
- Commerce Adds Limits on Exports of Chip Tech to China
The U.S. Department of Commerce (DoC) added limits on exports of chips and related production tools to China, citing national security concerns. Following the announcement, U.S. Senate Majority Leader Chuck Schumer noted he is ......more
- France-based Wise-integration to disrupt power supply market with deep Asia ties
Wide-bandgap (WBG) semiconductors are on track to build their momentum as demand for fast charging grows. Wise-integration, a startup specialized in gallium nitride (GaN) power supply solutions, advances with an ambition to dis......more
- Manz AG takes leading market position of FOPLP equipment by fast transitioning from concept to mass production
In 2022, the demand of semiconductor chips is facing an uncertain outlook, apart from the strong surge in orders of previous year. Especially in consumer electronics sectors, the market seems to be lessening demand and showing ......more
- Chinese car vendors asking for China-made ICs
Chinese car vendors have asked chip suppliers, including foreign ones, to place wafer starts for their demand with China-based foundries as China accelerates its push for IC self-sufficiency, according to industry sou......more
- In a Switch, South Korea Joining Chip 4 Talks
South Korea, which has been reluctant to discuss the formation of a “Chip 4” alliance with the United States, Japan, and Taiwan because of concerns about a possible trade war with China, is joining the talks, source......more
- Automotive IC market share to reach 10% by 2026
The automotive share of the total IC market has steadily increased since 1998 growing from 4.7% of total IC sales that year to 7.4% in 2021, according to IC Insights. The proportion is forecast to ......more
- Supply Chain Experts Weigh In on CHIPS Act
Chipmakers Intel, Micron, Samsung, and TSMC have announced plans to build foundries in the United States thanks largely to the CHIPS Act, which aims to rebuild America’s prowess in semiconductor manufacturing. But the eff......more
- Ready for electric revolution in agricultural automobiles: VST India
Much of the news of India's shift to electric vehicles (EV) is limited to passenger and some commercial vehicles. But what often goes unnoticed is that EVs are perfectly suited for several niche markets as well. Agricultura......more
- Taiwan export orders increase in August
Taiwan-based makers received export orders totaling US$54.59 billion in August 2022, increasing 0.6% sequentially and 2.0% on year, according to the Ministry of Economic Affairs (MOEA). Taiwan-based makers' overseas prod......more
- Chinese IC startups face uncertain outlook
Macroeconomic factors and changing investment strategy have taken their bites on China's semiconductor startups. As Financial Times reported, weakening market demand, especially in the consumer sector, has changed......more
- Chip autonomy, regionalization become the undertone of Semicon Taiwan 2022
As visitors return to Taipei's exhibition halls for the semiconductor industry's annual event, Semicon Taiwan 2022, browsing as usual through the hundreds of exhibitors specialized in areas from material to software, it......more
- HOLTEK 32-bit MCUs to make serious inroads into the smart wall-mounted and portable EV charging equipment area
The electric vehicle (EV) industry has developed a wide range of multi-wheeled electric vehicles, including self-balancing unicycles, two-wheeled scooters, electric motorcycles and bicycles, three-wheeled tuk-tuks and also four......more
- IC test interface vendors embrace strong demand for networking chips
Taiwan's IC test interface specialists have seen strong demand for networking chips applications thanks to mounting penetration of Wi-Fi 6/6E solutions, compensating for the order decline in handset APs, according......more
- Automotive IDMs raise prices
Automotive IC IDMs including Rohm Semiconductor will reportedly raise their prices to reflect rising raw material costs, according to industry sources. On September 1, IT companies were reportedly notified via a le......more
- Worldwide automotive display shipments to grow steadily till 2027
Global automotive display shipments are expected to reach 197 million units in 2022, up 7.2% on year, and will continue to grow to 288 million units by 2027 with a CAGR of 7.9% over the five-year period, according to DIGITIMES ......more
- 5G Coming to NYC Subways: A First…in the U.S.
For the second time, the Metropolitan Transportation Authority (MTA) in New York selected Transit Wireless to fully roll out wireless connectivity across the NYC subway system. This time, 5G cellular wireless is part of th......more
- Effectiveness of Anti-China Chip Rules in Doubt
New restrictions from the U.S. Department of Commerce (DoC) on global exports of advanced chipmaking technology aimed at Chinese chip designers like Alibaba and Baidu are “overblown” and unlikely to blunt ......more
- Taiwan sees July export orders decrease
Taiwan-based makers received export orders totaling US$54.26 billion in July 2022, decreasing 7.8% sequentially and 1.9% on year, according to the Ministry of Economic Affairs (MOEA). The decrease was attributable to weak de......more
- Is the pandemic-driven demand for game consoles fading away?
The stay-at-home economy that has been robust for more than two years is starting to fade as the pandemic gradually slows down. The three major game console brands, Sony, Microsoft and Nintendo, have all generally been more con......more
- Untether Unveils 2-PFLOPS AI Chip, Edge Roadmap
t Hot Chips this week, Untether unveiled its second-gen architecture for AI inference, the first chip using this architecture, as well as plans to expand to edge and endpoint accelerators. Untether’s new architecture, ......more
- PCB players Eiso, Aurona see diversification efforts bear fruit
Taiwan-based niche-market PCB maker Eiso Enterprise and PCB materials vendor Aurona Industries have been diversifying their target market segments with the efforts bearing fruits, according to industry sources. In recent yea......more
- Latest Funding Drives Ventana’s First RISC-V Chiplets in Data Centers
Ever since Ventana Micro Systems came out of stealth last year, the company has been busily developing relationships with partners and potential customers to create traction for its RISC-V–based chiplets, which ......more
- Top-5 brands see notebook shipments dive in July
The global top-5 notebook brands (not including Apple) saw their combined shipments slip 28% on month and over 30% on year in July as the worsening global economy continues undermining market demand and dimming the industry&rsq......more
- Taiwan IC test interface vendors quitting iPhone AP supply chain
Taiwan's IC test interface solutions providers including Chunghwa Precision Test Tech (CHPT) and WinWay Technology reportedly may almost fully retreat from the supply chain for iPhone APs in 2023 probably due to i......more
- Architect of CHIPS Act Speaks on Its Impact
In an exclusive interview with EE Times, Keith Krach, former Under Secretary of State for Economic Growth, Energy, and the Environment in the Trump administration, speaks on the significance of the CHIPS Act, which has sin......more
- AI development lacks breakthrough, says Taiwan AI Academy provost
AI development will not boom until 2024-2025 since it has not reached a critical breakthrough, according to provost Richie Tsai for Taiwan AI Academy. Development of AI, software and semiconductors will drive new economic de......more
- IC test interface vendors see diversification efforts bear fruit
Taiwan-based IC test interface specialists, such as Chunghwa Precision Test Tech (CHPT) and Sigurd Microelectronics, have seen their diversification efforts bear fruit as their operations are poised to be less affecte......more
- For Taiwanese fabless players, the US Chips Act bears little significance
The Chips and Science Act, after months of debates, finally made it through the US Congress and now waits for president Joe Biden’s signature. Now, the global semiconductor industry is wondering its impacts. While it rema......more
- Alchip Expects First 3 nm Chips Early in 2023
Alchip Technologies, a contract designer of AI silicon, punches above its weight in leading process nodes. The company expects to join much larger fabless companies with the world’s first 3 nm test chips early next year. ......more
- U.S. CHIPS Act Nears Approval After Senate Passage
The U.S. CHIPS Act is close to approval. The Senate has voted in favor of a trimmer package of subsidy measures that’s more focused on rebuilding the American semiconductor industry. On July 19, the Senate voted 64&nda......more
- Structural advantage
TSMC's had 24.9% growth in sales in 2021 and it is estimated that it may be close to 30% in 2022. TSMC chairman Mark Liu has said the foundry has a structural advantage. I don't know how people understand the characteri......more
- India's first memory chip ATMP plant reportedly to mass produce by December
Instead of selling memory chips for Japan- and US-based brands, Sahasra Semiconductors has decided to set up a memory chip assembly, test, and packaging (ATMP) unit in India and sell its own chips by December, which may be Indi......more
- Automotive IC verification, analysis demand stays strong
Automotive IC verification and analysis demand continues to be strong and will drive the revenue growth of Taiwan-based companies such as Audix and Integrated Service Technology (iST), according to industry sources. ......more
- Cadence, Tower Semiconductor collaborate to advance automotive ICs
Cadence Design Systems and Tower Semiconductor, the leading analog solutions, announced a collaboration to advance automotive and mobile IC development. The unique design challenges and analysis characteristics of ......more
- China IC Design Series (3): The rise of Chinese CMOS image sensor industry
The debut of the world's first dual-camera handset in 2011 kicked off a competition between handset vendors of adding more cameras on mobile phones, pressing brand vendors including Samsung Electronics, Huawei and Xiaomi to......more
- China IC Design Series (2): Emerging automotive AI chip design houses in China
In recent years, the smart car and autonomous driving industries in China have grown in popularity. Related software and hardware technologies have become more important in these sectors, with companies focused on artificial in......more
- China IC Design Series (1): China aims to develop automotive AI chips
In 2016, the AlphaGo computer program beat South Korean Go player Lee Sedol 4:1, shocking the world with the power of artificial intelligence (AI). Today, AI has penetrated everyday life and traditional industries, impacting ev......more
- IC design houses caught in dilemma over LTAs with foundries
Long-term agreements (LTAs) signed with foundry partners have caught IC design houses in a dilemma over whether they should honor the contracts in the second half of the year amid weakening consumer demand, according ......more
- IC IDMs see lead times shorten
Deceleration in pull-ins of orders for HPC and handset chips has significantly shortened delivery lead times at IDMs, according to industry sources in China. However, the available fab capacities at IDMs for automotive chip ord......more
- India roundup: IGSS looking to set up chip fab in southern India
IGSS, an applicant for the US$10 billion semiconductor incentive scheme, is looking to set up its fab in southern India. Renesas, eyeing opportunities in India, has expanded its relationship with Tata Group. Singap......more
- 2022 chip market growth will be 11 percent despite headwinds
he global chip market will be worth $680.7 billion in 2022, an annual increase of 11 percent despite soaring energy costs, supply chain shortages, Covid-19 lockdowns in China and the war in Ukraine, according to IC Insights. ......more
- SEMI, Foxconn, UMC Release AutoIC Master Scheme to Integrate Ecosystem
EMI, Foxconn Technology and UMC provide complete automotive chip solutions through effective and close partnerships with Taiwan’s automotive semiconductor supply chain, facilitating expansion into the global automotive ch......more
- IC test interface vendors eyeing new chip solutions as growth engine
Despite lingering handset sales uncertainty, mobile SoC vendors MediaTek and Qualcomm continue to roll out new high-end offerings, while Apple remains keen on developing high-performance chips in house for its devices, providin......more
- Neuchips Tapes Out Recommendation Accelerator for World-Beating Accuracy
Taiwanese startup Neuchips has taped out its AI accelerator designed specifically for data center recommendation models. Emulation of the chip suggests it will be the only solution on the market to achieve one million DLRM infe......more
- Macroblock cautious about LED driver IC sales prospects for 2H22
LED driver IC design house Macroblock has expressed caution about its sales prospects for the second half of 2022 due to excess inventories for general offerings held by its customers, but it is still expected to end ......more
- Automotive MCU supply to stay tight through 2H23
The supply of automotive MCUs is expected to stay tight through the second half of 2023, according to industry sources. Despite the sharp decline in consumer electronics demand, the electrification of vehicles is driving the......more
- Light EV sees hot demand in Japan but strained by chip crunch
Nissan and Mitsubishi respectively have reported high orders for their new electric "kei" car since late May, according to media reports. The two Japanese carmakers co-developed a new electric light automobile (bel......more
- IC analysis labs upbeat about 2H22
Taiwan's IC analysis and inspection labs are optimistic about better performance in the second half of 2022 than the first half, bolstered by increasing wafer material analysis (MA) demand by foundry houses and reliability ......more
- Ayar Labs Partners with Nvidia for Optical I/O Chiplets
California startup Ayar Labs is building an ecosystem around its optical chip–to–chip communication technology. The company has partnered with Nvidia to develop next–generation architectures with optical I/O. ......more
- IC Insights reiterates 2022 semiconductor outlook of 11% growth
Despite rampant inflation and other macro headwinds, IC Insights continues to anticipate an 11% increase in total semiconductor sales this year - the same growth rate that was forecast in January. The semiconductor......more
- Samsung, Stellantis to Invest $2.5 Billion in U.S. EV Battery Plant
Samsung SDI and Stellantis this week have agreed to invest more than $2.5 billion in an electric vehicle (EV) battery plant in the car manufacturing rustbelt of the U.S. as the nation’s automobile industry starts to elect......more
- Dish Network’s Delayed 5G Debut
Dish Network has finally debuted its first 5G market in the U.S. with its launch in Las Vegas on May 4. This is just the first hurdle, however, as the greenfield 5G operator still has many obstacles to clear on the ro......more
- IC packaging materials lifted by car, industrial needs
The growths of automotive and industrial applications have boosted demand for IC packaging materials such as leadframes, according to industry sources. IDMs remain relarively optimisitc about mid- to long-term outlook, the s......more
- Intel CEO Expects Chip Shortage to Persist Through 2024
Intel CEO Pat Gelsinger believes that the two–year shortage of chips that’s limited output of products ranging from cars to advanced weapons will continue through 2024. “Chip shortage — we&r......more
- China-based IC production to represent 21% of China IC market in 2026
IC production in China represented 16.7% of its US$186.5 billion IC market in 2021, up from 12.7% 10 years earlier in 2011, according to IC Insights. The share is forecast to increase by 4.5pp from 2021 to 21.2% in 2026, or a 0......more
- Chip autonomy barriers press carmakers
Driven by the shortages of automotive chips, many automakers have embarked on semiconductor development, but they need to tackle multiple challenges such as cost and technical barriers, according to industry sources. Tesla p......more
- SMIC Revenue Soars as Chinese Market Sours
Semiconductor Manufacturing International Corp. (SMIC) saw sales grow by more than 66% during the first three months of this year as the company warned of weakening demand in China, its home market. While the Chinese governm......more
- China to Consume 21% of its Domestic Chip Output by 2026
China is a long way from semiconductor self-sufficiency, IC Insights reports. While China-based chip production will represent 21.2 percent of its domestic IC market in 2026, foreign companies such as Samsung, SK Hyni......more
- The rise of top 10 IC design companies in China
China, the world's leading consumer of semiconductors, is undergoing a third semiconductor shift in tandem with the development of 5G, consumer electronics, and AI. Owing to the shift of the semiconductor industry – g......more
- The memory industry (6): When line between memory and logic IC becomes blurred
In 1984, Intel decided to exit the DRAM business, which marked the start of technology diversion between memories and logic IC. In hindsight, Intel's decision made sense. Memories and logic IC are very different in terms of......more
- Axelera Demos AI Test Chip After Taping Out in Four Months
AI chip startup Axelera has tested and validated a chip as a test vehicle for its Thetis digital in–memory compute core. The company’s tests show the 12–nm chip can achieve 39.3 TOPS with a power efficiency of......more
- GF, DoD Announce $117 Partnership to Secure U.S.-Made Critical Chips
GlobalFoundries (GF) last week announced a $117 million partnership with the U.S. Department of Defense (DoD), in which the chipmaker will assist the DoD in resupplying critical U.S.–made semiconductors for national secur......more
- Wistron NeWeb expects chip shortage to persist through 1Q23
Networking device assembler Wistron NeWeb expects the shortage of MCUs, MOSFETs, power management ICs (PWM IC) and other chips demanding mature process manufacturing to persist and continue disrupting its output through the fir......more
- IC equipment maker Trusval stays positive about sales prospects
Taiwan-based semiconductor equipment maker Trusval Technology remains optimistic about its business prospects for the second quarter, as its chipmaking customers are still stepping up order placements despite an unfavorable mac......more
- Industrial IC prices rise on tight supply
Industrial-control IC prices have risen amid tight supply, according to sources at IC distributors. A slowdown in demand for handsets, notebooks and other consumer electronics devices has led to a related IC inventory pile-u......more
- India Prepares to Build Nation’s First Chip Fab
India is edging toward the construction of its first IC fab in the southwestern state of Karnataka following ISMC’s recent announcement. The project would invest about $3 billion in a 65–nm analog chip fab, accor......more
- HOLTEK launches new 32-bit touch key MCUs – Provides professional technology services and solutions
Touch key product applications are becoming increasingly innovative, with a trend of increasing intelligence in terminal products, HOLTEK Semiconductor has launched its new 5V wide-voltage range Arm Cortex-M0+ To......more
- Foundational security key to automotive applications
The rising demand for automotive applications has compelled Arm to recognize the need for novel architectures for protecting automotive IC security, said Simon Teng, senior director of the company's automotive partnership i......more
- Streetlights Offer Path to Rapid mmWave 5G
On my daily walks, I often look up at the streetlights and think about the potential they hold for deploying many different technology solutions, whether for environmental monitoring, surveillance, or for enhancing network infr......more
- Samsung Profit Soars on Memory Chip Demand
Samsung Electronics, the world’s largest memory chipmaker, saw its profit in the first quarter of 2022 jump by more than 50% on strong demand for memory in data centers. South Korea’s largest company posted net i......more
- Infra, clean water, and power key to chip partnership in India
The success of India's semiconductor manufacturing plans depends heavily on partnerships with global companies. Vedanta's recent tie-up with Foxconn underscores this. But setting up chip-making factories requires a lot ......more
- Large investments announced during first-ever Semicon India
India held the first-ever Semicon India conference, during which semiconductor companies such as Applied Materials and ISMC announced investments in response to India's goal to build a semiconductor ecosystem. According ......more
- Pure-play foundries see automotive chip orders continue ramping up
Taiwan-based pure-play foundries continue to see orders for automotive semiconductors ramp up while stepping up their capacity expansion pace, according to industry sources. The world's major automotive IC IDMs......more
- SiFive and BrainChip Partner to Demo IP Compatibility
SiFive and BrainChip have partnered to show their IP is compatible in SoC designs for embedded artificial intelligence (AI). The companies have demonstrated BrainChip’s neuromorphic processing unit (NPU) IP working alongs......more
- MediaTek, Realtek eyeing bigger presence in high-end network IC market
With US-based network IC vendors putting increased focus on automotive applications, Taiwan's MediaTek and Realtek Semiconductor are both expected to grow their presence in the non-automotive high-end segment, acc......more
- Chipmakers Increase Share of Global IC Wafer Capacity
Samsung, Taiwan Semiconductor Manufacturing Co. (TSMC), Micron, SK Hynix, and Kioxia/Western Digital (WD) increased their share of the world’s silicon wafer capacity to a total of 57% by the end of 2021, according to mark......more
- US bid to boost chipmaking to be expensive and wasteful, says TSMC founder Morris Chang
The US' bid to increase onshore chip production would be futile, as it would not be globally competitive and able to compete with TSMC, according to Morris Chang, founder of Taiwan-based pure-play foundry. Chang made the......more
- China car industry hit hard by COVID curbs
China's auto industry is estimated to lose 20% of its production due to COVID-19 restricitions in Shanghai and its neighboring cities - a region, known as the Yangtze River delta, that accounts for 21.6% of the industry'......more
- Non-foundry IC segments gaining weight in HPC era, says DIGITIMES Research
Apple has become a bellwether in the HPC chip segment by rolling out its high-performance M1 series built using advanced process at its foundry partner, but all other manufacturing segments in the semiconductor industry will al......more
- Geopolitical climate is changing global semiconductor value chain
While Russian troops were bombing Ukraine, a US delegation led by Michael Glenn Mullen, ex-chairman of the joint chiefs of staff, arrived in Taiwan, followed closely by a separate visit by former US secretary of state Mike Pomp......more
- IC, auto makers among first in Shanghai to resume operations
More than 600 businesses in Shanghai, primarily IC and auto makers, are resuming operations under the municipality's approval after days of COVID lockdown. TSMC said its Songjiang plant had not halted operations d......more
- IC unit shipments forecast to rise 9% in 2022
Worldwide IC unit shipments will increase 9.2% to a record high of 427.7 billion units in 2022, after surging 22% in 2021, according to IC Insights. Of the 33 major IC product categories defined......more
- K-Best eyeing opportunities in global IoT market
K-Best Technology, a subsidiary of Acer, is gearing up efforts to introduce its wireless communication technology to the world, as it sets sights on business opportunities in the global Internet of Things (IoT) market. Havin......more
- PWM IC firm M3Tek to debut on Taiwan Stock Exchange
Power management IC (PMIC) supplier M3 Technology (M3Tek) plans to have its stock listed on the Taiwan Stock Exchange in the second quarter of 2022. Speaking at its pre-listing performance presentations on April 14......more
- Auto chips may remain in short supply in 2022
Demand for chips will present divergent trends in 2022, as automotive chips will remain in short supply and consumer electronics makers are reportedly scaling down their chip demand, according to industry so......more
- Edwards working with chipmakers to cut carbon emissions
Edwards, which makes vacuum pumps and advanced exhaust systems used in semiconductor manufacturing, is working closely with the world's major foundries to reduce carbon emissions when fabricating ICs particularly those......more
- Eight-inch IC fab capacity set to surge 21% to mitigate supply-demand imbalance, says SEMI
Semiconductor manufacturers worldwide are on track to boost 200mm fab capacity by 1.2 million wafers, or 21%, from the start of 2020 to the end of 2024 to hit a record high of 6.9 million wafers per month, SEMI said in its new ......more
- US companies capture 54% of global IC market in 2021, says IC Insights
US companies captured 54% of the worldwide IC market in 2021, propelled by a 47% share of IDM sales and a 68% share of fabless sales, according to IC Insights. South Korean companies held 22% of the ......more
- Chip shortage a bottleneck for Tata's EV ambition
The top electric vehicle (EV) brand by sale units in India, Tata Motors, has announced the Curvv, a concept vehicle based on a new EV-first platform, a step forward to offering up to 10 EVs by 2025. According to The Eco......more
- U.S. Government, Chipmakers Renew Push for CHIPS Act
The U.S. government and domestic chipmakers strengthened separate efforts this week to pass the $52 billion CHIPS Act of stimulus measures. The CHIPS Act currently awaits congressional approval, warning of strategic vulnerabili......more
- Motherson Sumi looking for semiconductor partner
Following Tata' and Vedanta's foraying into the semiconductor industry, Laksh Vaaman Sehgal, vice chairman of Samvardhana Motherson, India's leading auto wiring harness manufacturer, has said the group has set up a ......more
- 3M Cuts Output of PFAS Used in Chip Production
3M has suspended production in Belgium of the polyfluoroalkyl substance (PFAS) used in the chipmaking process, which is considered hazardous to human health. The materials supplier halted production of PFAS at a plant near t......more
- Taiwan diode, MCU makers eyeing automotive market
Taiwan-based diode and MCU makers have stepped up their deployments for the automotive market recently, eyeing future opportunities presented by the rapid development of electric vehicles (EVs). Despite uncertainties ca......more
- Tata subsidiary Tejas Networks acquires fabless IC startup
Tata's subsidiary Tejas Networks has announced to acquire Bengalore-based fabless IC startup Saankhya Labs to build a global telecom equipment company, indicating accelerated investments from Tata Group in the sem......more
- Chinese chipmakers target analog IC market
Rapid growth of the new energy vehicle (NEV) market and rising demand for analog chips used in automotive electronics is promoting the expansion of global analog chip manufacturers, including Chinese chipmakers. Wi......more
- Tata subsidiary Tejas Networks acquires fabless IC startup
Tata's subsidiary Tejas Networks has announced to acquire Bengalore-based fabless IC startup Saankhya Labs to build a global telecom equipment company, indicating accelerated investments from Tata Group in the sem......more
- Analog IC sales to rise 12% in 2022, says IC Insights
Another year of double-digit market growth is expected for analog ICs in 2022 after sales in this semiconductor category surged by an unprecedented 30% in 2021, according to IC Insights. Total analog IC&n......more
- STMicroelectronics Rad-Hard ICs Target ‘New Space’
Built with low–earth orbit (LEO) in mind, STMicroelectronics’ latest series of radiation–hardened ICs boast a plastic package with a total ionization dose immunity up to 50 krad(Si), enabling next–gen sa......more
- IC designer Amlogic turns to automotive, Wi-Fi chips
China's IC designer Amlogic Inc expects Wi-Fi and automotive chips to further spur its growth, after the smart TV market gets more competitive, according to the company. Driven by the demand for smart TVs,......more
- ASML Warns Chip Shortages to Continue Over Next Two Years
ASML, the sole producer of critical EUV lithography machines, said it anticipates chip shortages to persist for at least the next two years. The warning is said to stem from ASML’s reliance on its suppliers, including Ger......more
- AMICCOM releases low current sub-1GHz wireless transceiver SOCs
AMICCOM released a new generation of low current sub1-GHz wireless SOCs in March 2022, named A9129F6, A9139F6, and A9159F6. AMICCOM continues to integrate the existing RFICs with MCU and roll-out highly integrated SOC products.......more
- STMicroelectronics Rad-Hard ICs Target ‘New Space’
Built with low–earth orbit (LEO) in mind, STMicroelectronics’ latest series of radiation–hardened ICs boast a plastic package with a total ionization dose immunity up to 50 krad(Si), enabling next–gen sa......more
- AMICCOM intros 2.4GHz low power wireless RF SOC for IoT application
AMICCOM Electronics Corporation (AMICCOM) has announced a new 2.4GHz wireless transceiver SOC named A8131M0. The A8131M0 supports data rate 250kbps to 2Mbps with FSK modulation. This chip integrates high performance Arm Cortex-......more
- Semco boosts investment in ABF substrate production
Samsung Electro-Mechanics (Semco) on March 21 announced that it will expand its ABF substrate production capacity at its Busan plant, bringing its total investment in the segment to KRW1.6 trillion (US$1.32 billion), according ......more
- Taiwan forms national group for quantum tech R&D
Taiwan has formed a national group for R&D of quantum technologies, with focuses on quantum devices, computing, algorithms and communication, according to Gwo Shangir, convenor's of the government's Quantum System P......more
- Chinese carmakers developing auto chips
Chinese carmakers have begun deploying resources in semiconductor development, as chip shortage continues to haunt the automotive industry. Chinese carmakers still rely heavily on imports of car chips, but they are now movin......more
- Flexibility the key to continued growth for IC design houses
Taiwan-based IC design houses experienced high revenue and profits in 2021, but entering into 2022, many companies clearly felt growth easing. Customers restarted set off-season stock adjustments and no longer blindly......more
- Flash memory capex to set new high in 2022
IC Insights has forecast that NAND flash memory capital spending will rise 8% to US$29.9 billion in 2022, surpassing the previous all-time high of US$27.8 billion spent in 2018. Flash memory capital spending soared in 2......more
- IT firms turning conservative about 2022
With the war between Russia and Ukraine not likely to cease any time soon, IT firms have turned cautious about their operation in 2022. Notebook ODMs, despite still having robust orders for the first half, visibility of or......more
- Reshoring Chip Industry Risks Failure With Just More Fabs
Reviving U.S. semiconductor production, at the apex of the electronics industry, is unlikely to succeed without also rebuilding the more basic domestic ecosystem of companies in chip assembly and test, according to industry exp......more
- Global foundry market to surge 20% in 2022, says IC Insights
After dropping 2% in 2019, the global foundry market logged a strong 21% rebound in 2020, driven by sales of application processors and other components for 5G smartphones, according to IC Insights. The foundry market......more
- Taiwan February exports down on month, up on year, says MOF
Taiwan recorded a total export value of US$37.45 billion for February 2022, decreasing 6.3% on month but increasing 34.8% on year, while the corresponding total import value of US$31.64 billion declined 9.8% on month but rose 3......more
- Apple Car reportedly may adopt ABF substrates from Korean maker
Apple reportedly is in talks with a Korean substrate maker for the supply of ABF-based FC-BGA substrates for processing Apple Car chip solutions, sparking concerns about which IC substrate suppliers will eventually contribute c......more
- Tata and Renesas to build EV innovation center in India
Renesas Electronics and Tata Elxsi set up Next Generation EV Innovation Center, which opened in January, in Bangalore to develop EV technology, such as battery management systems and motor control units. Relia......more
- PCBs in demand, 6-inch wafers strong
Most of the electronics sector is doing brisk business, and the few parts that are lagging are likely to perk up quickly. Printed circuit boards and integrated circuit substrates are in high demand, and Nan Ya PCB is expan......more
- House Bill Funds CHIPS Act, Stresses R&D
Efforts aimed at reviving U.S. semiconductor manufacturing and strengthening technology supply chains advanced this week with the introduction of a catch-all bill that funds “surge production” of U.S.-made chips whi......more
- Competitive scramble for chips intensifies
The world's markets demand more chips than are readily available. Multiple chipmakers have begun capacity expansion projects, even though some critics fear that oversupply could be possible in the future. Reportedly, T......more
- India fabless IC startup Steradian joins hands with Astra
Indian fabless semiconductor startup Steradian Semiconductor has formalized cooperation with communication systems provider Astra Microwave Products to produce radar modules, the latest partnership the startup has established s......more
- Taiwan chipmakers see their role in global EV supply chain grow
The role of Taiwan's IC industry is growing amid the persistent shortage of automotive chips. TSMC has in recent years expanded its automotive client portfolio, according to industry sources. Long-term order co......more
- Microprocessor sales growth to slow in 2022, says IC Insights
Worldwide microprocessor sales grew 14% to a record-high US$102.9 billion in 2021, after climbing 16% in 2020, when COVID-induced lockdowns drove up demand for PCs, large-screen smartphones and other devices enabling stay-at-ho......more
- Upcoming firms prepare innovative designs while Taiwan dominates chipmaking
NIO is preparing to design phones that interact with its cars, and to implement those phones in-house. ASE hopes that its negotiations will yield an agreement to make 5G chips for iPhones. Taiwan foundries alread......more
- Vietnam gaining leverage in global supply chain
Despite challenges posed by the COVID-19 pandemic, Vietnam remains an attractive location for manufacturers that seek relocation from China, and it is gaining advantages with the Regional Comprehensive Economic Partnership......more
- Global chipmakers find ways to improve competitiveness
Major semiconductor suppliers around the world are finding different ways to strengthen their competitiveness, as industry analysts expect that most of them will achieve record revenues in 2022 due to strong global demand. A......more
- Macroblock develops two new LED driver ICs used in automotive lighting
LED driver IC design house Macroblock has developed two new driver ICs used in automotive interior/exterior lighting, MBI6659Q and MBI6665Q, and has obtained AEC (Automotive Electronics Council)-Q100 certificatio......more
- Hyundai reportedly uses appliance ICs on cars
According to The Elec, Hyundai has started testing ICs for home appliances on vehicles, attempting to replace car chips with IC controllers used in home appliances. Sources at suppliers said it is the car......more
- CCL makers keen to develop high-frequency offerings for car applications
Taiwan's copper-clad laminates (CCL) makers including Elite Material (EMC), Iteq, and Taiwan Union Technology are all gearing up their deployments in high-frequency offerings for automotive applications, according to indust......more
- AI Accelerators Enter IoT SoCs
Silicon Labs’ latest families of wireless-enabled SoCs for IoT applications for the first time include a hardware AI/ML accelerator. The upgrade is indicative of the growing popularity of AI/ML techniques for a variety of......more
- The world desperately wants more microchips
Many companies have tried and failed to make microchips in India. Undeterred, Vedanta seeks a partnership with Foxconn to make chips in India. In Taiwan, Compal and Inventec are failing to ship their products on time&......more
- Semiconductor sales to rise at 7% CAGR through 2026, says IC Insights
Total semiconductor sales are forecast to rise 11% in 2022, following a 25% surge in 2021 and an 11% increase in 2020, according to the market research group IC Insights. If achieved, it would mark the first time sinc......more
- Taiwan's Q122 exports remain robust: ministry
Taiwan's exports in 2021 totaled US$446.5 billion, a 29.4% growth year-on-year, while the momentum of growth is expected to continue through Q122, the government has said. Taiwan's Ministry of Finance on February 11 ......more
- Redoubled efforts in chip manufacturing begin to pay off
Taiwan's semiconductor manufacturers face grave challenges, but have only intensified their efforts to produce and deliver chips. Loosening labor restrictions are motivating Taiwanese companies to hire foreign wor......more
- 50 and Green: EE Times and the IC Industry
EE Times is turning 50 in 2022. We published our first issue only a few months after Intel ushered in the modern computing era with the introduction of the first microprocessor in November of 1971. This newspaper provided front......more
- Taiwan semiconductor companies remain irreplaceable in global tech sector
DIGITIMES has released its ranking of "Asia Supply Chain Market Cap 100" based on the market capitalization of companies engaging in Asia supply chain manufacturing. These rankings go one step further to capture the d......more
- Prolonged chip crunch delays deliveries of two-wheelers in India
India's car manufacturers might be expecting prolonged chip shortages for both cars and scooters. According to The Hans India, Maruti Suzuki India's latest earnings declined significantly by 47.8% due to&......more
- Shanghai incentivizes development of IC equipment, EDA tools
The Shanghai municipal government has newly released a package of generous incentives to encourage investments in the development and production of semiconductor materials and equipment, as well as chip design software solution......more
- Private 5G Networks are Rolling Out Slowly Too
Private 5G networks are already one of the most hyped tech phrases of 2022. But how many production 5G private networks are actually out there? EE Times examined the progress of 5G private networks in January 2021 ......more
- A new model of talent-driven industrial development
Former president of Industrial Technology Research Institute Chin-Tay Shih pointed out in a recent forum organized by Li Kwoh-ting Foundation that top US companies often use Research Lab organizations to interact with young stu......more
- MediaTek demos Wi-Fi 7 technology
MediaTek has showcased what the company claims is the world's first live demo of Wi-Fi 7 technology, and expects products with Wi-Fi 7 to hit the market starting in 2023. Although the Wi-Fi industry is still promoting Wi......more
- IC sales to post another double-digit hike in 2022
The IC market is forecast to rise 11% this year following a 26% surge in 2021 and a 13% increase in 2020, according to IC Insights. If achieved, IC Insights said, it would mark the first ti......more
- Singapore electronics manufacturing industry faces challenges
Singapore could face challenges in sustaining its economic revival spurred by strong global demand for electronic products and semiconductors in 2021, according to industry analysts. The city-state saw its economy ......more
- Taiwan seeks semiconductor equipment self-sufficiency
Taiwan is a semiconductor manufacturing powerhouse, but it relies heavily on foreign equipment suppliers. Now the country's president has vowed to improve Taiwan's semiconductor equipment self-sufficiency. A recent......more
- Semi content in electronic systems reaches record high in 2021, says IC Insights
Semiconductor content in electronic systems reached 33.2% last year, surpassing the previous record high set in 2018, according to IC Insights. Historically, the driving force behind the higher average annual ......more
- Global IDMs placing emphasis on ADAS IC
Global IDMs have been actively exploring advanced driver assistance systems (ADAS) ICs despite limited business opportunities in the autonomous driving market currently due to government regulations and long development ti......more
- China reports robust semiconductor industry growth despite US sanctions
Although some of its key semiconductor industry players were put on the Entity List by the US government since 2019, China managed to register double-digit growth in the revenues of all of its semiconductor sub-sectors in 2020,......more
- The benchmarks and opportunities of the IC design industry
Founded in 1997, MediaTek originated from the UMC Group which was founded in the 1980s. The management team has witnessed the wonderful era "from micrometer to sub-microns" in Taiwan. In view of the future of Taiwan......more
- Taiwan December export value historically second highest monthly level, says MOF
Taiwan recorded a total export value of US$40.72 billion for December 2021, the historically second-highest monthly level, exceeded only by the US$ 41.58 billion recorded in the preceding month; this was an increase of 23.4% on......more
- Advantest introduces new testing solutions for next-gen IC, high-end CIS
Japan-based semiconductor testing equipment supplier Advantest is introducing new testing solutions for next-generation memory, NAND flash and high-end CMOS image sensors (CIS) as it looks to expand its presence in the system-l......more
- Semiconductor sales to grow 11% in 2022, says IC Insights
Total semiconductor sales are forecast to grow 11% and reach a record-high US$680.6 billion in 2022, after climbing 25% in 2021, according to IC Insights. Above average increases are expected in all major product c......more
- Notebook peripheral IC specialists report strong sales for 2021
Notebook IC design specialists particularly those engaged in peripheral chips including touchscreen controllers and high-speed interface chips have reported impressive sales results for 2021 with many of them generati......more
- Strong Memory Demand Fuels Global Chip Revenues
The global semiconductor industry topped $150 billion in revenue during the third quarter, fueled by strong memory sales that rose 13.8 percent over the previous quarter, “Preliminary data shows the NAND market reached......more
- Notebook ODMs reluctant to expand to India
PC brand vendors have stepped up manufacturing in India, but their Taiwan-based notebook production partners have been reluctant to set up assembly lines in the South Asia country. Taiwan-based networking device ......more
- An extraordinary era
In a semiconductor industry forum that marked the 20th death anniversary of the late senior presidential advisor Li Kwoh-ting, many distinguished ICT leaders shared their insights. President Tsai Ing-wen delivered an openi......more
- Realtek set to hike quotes for Wi-Fi SoCs, Ethernet chips in 1Q22
Taiwan's major networking IC supplier Realtek Semiconductor is set to raise its quotes for Wi-Fi SoCs and Ethernet chips in the first quarter of 2022 to reflect increased foundry costs, according to industry sourc......more
- Semiconductor Sector Poised to Grasp 5G Opportunity
The accelerating pace of 5G wireless network rollouts over the past year across many regions has benefitted telecommunications equipment suppliers and makers of 5G-enabled phones. That momentum also promises to provide a profit......more
- A semiconductor supply chain cluster can be seen in US
Many Taiwan-based cleanroom and equipment and facility suppliers will come with TSMC to the US to build a semiconductor supply chain cluster. The prices for DRAMs and network, industrial ICs are posed t......more
- Taiwan testing and analysis companies stand out in 3D chiplet, third-gen semiconductors
Taiwan's semiconductor supply chain will bring the biggest benefits to homogeneous/heterogeneous packaging, system-level testing (SLT), as well as advanced technology for materials analysis (MA), reliability analysis (RA) a......more
- IC supply priority shifting to non-consumer products for 2022
ICs for consumer products are expected to see worse shortages than other applications in 2022 as many IC design houses have prioritized supply capacity for non-consumer chip offerings with higher profit margins, accor......more
- 2022 may be bumpy for semiconductor market
The semiconductor market should remain robust in 2022, but chipmakers still face some factors, such as trade tensions, that could disrupt the market. But the automotive chip market may see shortages last til......more
- South Korean chip designers pressured by semiconductor IP price hike
The continuing price hike of overseas semiconductor intellectual property (IP) could force small- and medium-sized IC design houses in South Korea to give up cutting-edge process design, according to an ET News&n......more
- IC Gear Sector is Humming
Another year, another sales record for the white-hot semiconductor equipment sector, benefiting from a seemingly inexorable spending spree expected to continue into 2022 despite stricter U.S. restrictions on the sale of advance......more
- Memory demand from datacenters to rise in 1Q22
DRAM and NAND flash contract prices are poised to continue trending downward in first-quarter 2022, but memory demand from datacenters and enterprise storage is expected to pick up. Taiwan-based IC desi......more
- Merck, Palantir Launch IC Supply Chain Platform
A technology partnership between the semiconductor materials arm of German multinational Merck and Palantir Technologies, the data analytics specialist, will tackle chip shortages via a collaboration platform aimed at chipmaker......more
- PlayNitride showcasing microLED display with PM driver IC at Opto Taiwan 2021
PlayNitride is exhibiting a 1.58-inch microLED display equipped with passive matrix (PM) driver IC for use in wearable devices at Opto Taiwan 2021 optoelectronics exhibition during December 21-23, according to the com......more
- Xiaomi sets up CNY1.5 billion subsidiary for ICs
Xiaomi has quietly set up a new company with a registered capital of CNY1.5 billion (US$235.3 million) through subsidiary X-Ring Limited, according to industry sources in China. Shanghai Xuanjie Technology (transliterated fr......more
- EDA demand to grow sharply for heterogeneous chips integration
Demand for EDA (electronic design automation) software solutions is expected to grow sharply in the post-Moore's Law era, as they are badly needed in heterogeneous integration operations for both 3D IC and si......more
- Intel to build new plant in Malaysia
Intel has announced a plan to invest over US$7 billion to build a semiconductor assembly and testing plant in Malaysia, as part of its efforts to tackle chip shortage. Pegatron chairman TH Tung said the IC shorta......more
- Etron with in-house developed KOOLDRAM enters car industry supply chain
Etron Technology's in-house developed KOOLDRAM products are being adopted by car vendors, a milestone for its entry into the automotive sector, according to the Taiwan-based niche-market memory IC design specialis......more
- Optical Chip Solves Hardest Math Problems Faster than GPUs
Optical computing startup Lightelligence has demonstrated a silicon photonics accelerator running the Ising problem more than 100 times faster than a typical GPU setup. Lightelligence’s photonic arithmetic co......more
- Semiconductor capex on pace for 34% growth in 2021, says IC Insights
Worldwide semiconductor capex is on track to surge 34% in 2021, its strongest percentage gain since a 41% increase in 2017, according to IC Insights. The US$152.0 billion in outlays this year would also represent a......more
- Tight supply to continue at foundries
Although some IC segments are seeing inventory rising, others are still in short supply, with foundry capacity expected to remain tight in 2022. In the IT sector, demand for entry-level notebooks and Chromeb......more
- Graphics card, mobo vendors post impressive revenue gains in November
Asustek Computer, Micro-Star International (MSI) and Gigabyte Technology have all reported impressive revenue gains in both November and the first 11 months of the year thanks to growing sales of graphics cards, notebooks and m......more
- Semiconductor material distributors see booming sales
Semiconductor material distributors have enjoyed booming sales arising from robust demand from wafer foundry and IC packaging/testing service providers and consequently, Niching Industrial, Chang Wah Electromaterials,......more
- Ford, GlobalFoundries Aim to Boost Domestic IC Supplies
Responding to the ongoing global chip shortage and a severely disrupted semiconductor supply chain, IC makers are jumping at the opportunity to collaborate with customers to both increase chip inventories and boost profits. ......more
- Identify your business model from the market structure
PSMC chairman Frank Huang has long been known in the IT industry as a very unique personality, or even a cat with nine lives. Huang has plans to invest NT$300 billion (US$10.81 billion) to build an industrial park for the semic......more
- Supply chain cooperation crucial to address SiP challenges, says ASE VP
Different types of SiP (system in package) solutions are facing diverse challenges, and can be materialized only through close cooperation among related semiconductor supply chain players, according to CP Hung, vice president o......more
- HKUST establishes AI chip design R&D consortium with ambitious goals
The AI Chip Center for Emerging Smart Systems (ACCESS) founded by the Hong Kong University of Science and Technology (HKUST) convened a press conference on the 30th of November to share the vision of fostering a semi-open R&......more
- Canada reveals semiconductor roadmap 2050 action plan to build domestic IC supplies
The Canada Semiconductor Council unveiled a report with the title "Roadmap to 2050: Canada's Semiconductor Action Plan" in November, trying to come up with a strategy to address the "twin shocks" of lack......more
- 3D-IC can ease the ongoing global chip shortage concerns
The technological benefits that the 3D stacking of integrated circuits brings have the potential to ease the current chip shortage concerns, according to Cadence Design Systems. As demand for faster processors continues to rise......more
- Price hikes ahead for networking and memory ICs
Chipmakers and IC distributors of networking chips are poised to embrace a price hike due to the prolonged chip storage. Memory chips, such as DDR3, will also benefit from it to stop the falling pr......more
- New IC fab projects to draw government subsidies in Japan
TSMC, Kioxia and Micron Technology are reportedly expected to receive government subsidies in Japan to support their new fabs or expansion projects locally in Japan. A recent Nikkei report said Japan is allocating ......more
- PCB, IC substrate equipment demand robust
Strong demand for PCBs and IC substrates is sending suppliers increasing capacity, which in turn is extending order visibility at equipment suppliers to second-half 2022. And shortage of ABF substrates&......more
- Jay Last, Fairchild Semi Co-Founder, Builder of 1st Commercial IC, Dies at 92
One of the least-well-known heroes of the semiconductor revolution, Jay Last, died on November 11, 2021. Last was one of the famous team of eight people that left Shockley Semiconductor Laboratory to found Fairchild Semiconduct......more
- Industry watch: Taiwan, a game changer
According to the Industrial Technology Research Institute (ITRI), the global semiconductor manufacturing revenue including wafer foundry and IC package and testing industries, grew 13.6% from US$501 billion in 2019 to......more
- Smartphone chip vendors reserving 12-inch fab capacity for PWMICs
Shortage of foundry supply is sending major smartphone application processors vendors booking 12-inch fab capacity in advance to facilitate shipments of their power management ICs next year. Memory maker Winbond expec......more
- Semiconductor Sector Shows Signs of Cooling
There are indications the overheated semiconductor sector may be cooling off after roughly 18 months of record-breaking demand for silicon, wafers and production gear. However, demand for chip design services remained strong du......more
- Taiwan COF packaging/testing service providers positive about 4Q21 demand
Taiwan-based COF (chip on film) OSAT (outsourced semiconductor assembly and test) service providers such as ChipMOS Technologies and Chipband Technology as well as COF substrate supplier JMC Electronics hold the general opinion......more
- Second-tier Ethernet IC vendors see shipments rise for IIoT applications
As demand for Ethernet ICs continues to grow robustly on proliferating IoT applications, Taiwan's second-tier networking chips vendors including Asix Electronics under MediaTek and IC Plus affiliated with Uni......more
- MediaTek secures foundry support for 5G chips
Chip shortage has plagued many industry sectors for months, partly due to insufficient foundry support. But MediaTek has struck deals with pure-play foundry houses for making its 5G chips in 2021 and beyond. In China,......more
- Novatek to expand IC design talent pool
Taiwan-based display driver IC vendor Novatek Microelectronics plans to hire an additional 400-500 design engineers in 2022 while also increasing pay to expand its talent pool. Novatek's vice chairman and presi......more
- Taiwan IC design houses see gross margins come under downward pressure
With pure-play foundries initiating another price hikes to reflect their continued tight fab capacities, many Taiwan-based IC design houses are finding it increasingly difficult to maintain gross margin, according to ......more
- General Motors Tags Wolfspeed for Drivetrain Chips
Wolfspeed has signed a strategic supplier agreement with General Motors to develop and deliver silicon carbide (SiC) power devices for GM’s electric vehicles (EV). GM will use Wolfspeed’s products specifically in it......more
- DRAM prices to retreat in 4Q21 after strong gains
DRAM ASPs soared 41% through the first eight months of 2021, rising from US$3.37 in January to US$4.77 in August. ASPs slipped 3% to US$4.62 in September, still a 37% surge compared to the beginning of 2021, according to I......more
- Analog IC firm GMT striving for more foundry capacity
Taiwan-based Global Mixed-mode Technology (GMT), a supplier of analog and power management (PWM) ICs, will continue striving for more available capacities at foundries to support its growth next year, according to the fabl......more
- Semiconductor material distributors remain upbeat about demand
Despite a recent cutback in demand for large-size display driver ICs, Taiwan-based IC material distributors remain upbeat about the overall demand that will buoy their sales performance this year, according to ma......more
- Foxconn chairman Young-Way Liu talks semiconductor, EV trends on US-Taiwan High-Tech Forum
With the continuous advancement of technology, semiconductors and the role they play are becoming increasingly more important across industries and in personal life. Hon Hai (Foxconn) chairman Young-Way Liu talked about ne......more
- Global server shipments to see smaller than expected growth in 3Q21
Global server shipments only increased 8.5% sequentially in the third quarter of 2021, lower than the 13% forecast DIGITIMES Research made in July, due to IC and component shortages, according to the latest figures fr......more
- Reimagining the IC Supply Chain to Prevent the Next Shortage
The consensus that emerged from an April 2021 meeting of high-level corporate executives at The White House to discuss fixes to the ongoing semiconductor shortage echoed what observers have been saying for months......more
- Industry leaders discuss resilient supply chains for the future on NATEA US-Taiwan High-Tech Forum
A resilient semiconductor supply chain is pivotal to the global economy, and the world has learned it the hard way over the past two years. Industry leaders who spoke on the US-Taiwan High-Tech Forum (UTHTF) hosted by the North......more
- Is the Chip Shortage Here to Stay?
Simon Segars took center stage at this week’s Web Summit in Lisbon to discussthe global chip shortage. While being optimistic about the health of the semiconductor industry, he argued that solving the current cr......more
- India roundup: carmakers are running low on lithium battery
India continues pushing for its local manufacturing of EV and semiconductors; however, lithium batteries are hard to find. Honda may launch its first electric two-wheeler in India in the next financial year. India needs to s......more
- 6G: More Hype Than Reality?
The marketing of 6G wireless got a boost this month during the Brooklyn 6G Summit. The event grew out of previous Brooklyn 5G Summits. The 6G jamboree launched the hype cycle for the new technology earlier than ever before......more
- Ericsson’s Laser-Powered Wireless 5G Ambitions
Ericsson aspires to deliver 5G connectivity without linking to the power grid by wirelessly powering base stations with lasers. To that end, the infrastructure vendor has teamed up with laser specialist PowerLig......more
- Taiwan companies urged to work together to gain advantage in EV supply chain
The annual output value of Taiwan's automotive electronics exceeded NT$250 billion (US$8.99 billion) in the past year, and there is an opportunity to double growth in the coming four to five years, reaching more than NT$600......more
- Taiwan firms eyeing third-generation semiconductors
The so-called third-generation semiconductors are promising, and Taiwanese firms, including those from the semicondutor and IT sectors, are gearing up efforts looking to build a strong presence in compound semiconductors&n......more
- Taiwan September manufacturing production index hits record
Taiwan recorded manufacturing production index (2016 as base year) of 141.01 for September 2021, the highest-ever monthly level, increasesing 2.22% sequentially and 12.78% on year, according to Ministry of Economic Affairs......more
- Taiwan science park revenues grow almost 25% in January-August
The Hsinchu Science Park (HSP), Southern Taiwan Science Park (STSP) and Central Taiwan Science Park (CTSP) generated combined revenues of NT$2,370.575 billion (US$84.89 billion) in January-August 2021, growing 24.53% on year, a......more
- It Shouldn’t Be Just Chips
The U.S. Congress and the Biden Administration are hurtling down the track toward investing billions of dollars in the domestic semiconductor manufacturing industry. But there is a flaw in their approach because they are ignori......more
- Foxconn Enters Chip Production with Macronix Deal
Foxconn, the world’s largest assembler of consumer devices such as Apple’s iPhone, is entering semiconductor production as part of a plan to expand into electric vehicles. Foxconn recently announced it will ......more
- Automotive chip prices set to rise
Automotive IDMs have already told their clients that their chip prices will go up by 10-20% starting 2022 amid rising compoents and materaisls costs. Foundry quotes have been rising, but they are expected to......more
- Taiwan September exports hit record
Taiwan recorded exports totaling US$39.65 in September 2021, hitting a monthly record for the third consecutive time with growth of 0.3% sequentially and 29.2% year-on-year, while the corresponding imports reached US$33.21 bill......more
- Rain Neuromorphics Tapes Out Demo Chip for Analog AI
Rain Neuromorphics has taped out a demonstration chip for its brain-inspired analog architecture that employs a 3D array of randomly-connected memristors to compute neural network training and inference at extremely low power. ......more
- AI in Automotive: Current and Future Impact
First, a few perspectives on AI: It’s a misnomer! AI is neither artificial, nor is it intelligent. AI cannot recognize things without extensive human training. AI exhibits completely different logic from humans in terms o......more
- Automotive IC shortages to remain
The car industry has been plagued by component shortages, and automotive IC shortages are likely to last till at least 2023. TSMC's plan to build a mature process fab in Japan comes as good new......more
- NeuroBlade Raises Funds to Launch its Compute-in-Memory Chip
NeuroBlade, the compute-in-memory startup, has secured $83 million to help market its data analytics accelerator based on its XRAM computational memory chip. The Series B funding round takes NeuroBlade’s total funding to ......more
- Notebook ODMs to not see strong shipment growth in 2H21
Notebook ODMs may not see strong shipment growth in traditional peak season in second-half 2021, but it does not mean that the notebook market is heading for a downturn. Samsung expects to move its 3nm GAA proces......more
- Car Makers Reap What’s Sown During Chip Shortage
Despite optimistic predictions that auto makers have seen the worst of ongoing semiconductor shortages, sources closer to the technology supply chain maintain things will get worse before they get any better. Industry consul......more
- Ubiquitous AI Will Rely on Conventional Memory
As AI hype recedes and new engineering challenges are confronted, memory requirements are coming into focus: Not every machine learning and inference task will require advanced memory technology. Instead, proven conventional me......more
- Chip Makers Respond to Demand Surge
As we reported, Globalfoundries broke ground this week on a new 300-mm fab in Singapore, one of a projected 19 new high-volume fabs to be built by the end of this year, according to the industry group SEMI. Those f......more
- European IC Effort Doubles Down on Tech Sovereignty
The pursuit of technology sovereignty from China to the U.S. to Europe has moved to the forefront as semiconductors emerge as the coin of the realm. As Beijing invests billions on chip innovation and U.S. lawmakers reach con......more
- Chipmakers face inventory management problems
The IC industry sees uneven inventory in different segments, with short supply of power management ICs and other mature chips worsening. China's eletricity restrictions policy is creating uncertaint......more
- Edom to acquire fellow IC distributor Promaster
Edom Technology has announced plans to acquire fellow Taiwan-based IC distributor Promaster Technology, which sells mainly RF and 5G connectivity chips, through a share swap. Promaster is currently a product distri......more
- STMicro, Xilinx chip prices to rise
A new wave of price hikes among chip vendors is looming as STMicro and Xilinx have both told clients their product prices will go up in fourth-quarter 2021. The US government reportedly is demanding foundry houses, in......more
- Leadframe maker I-Chiun to ready new capacity in 1Q22
Leadframe maker I-Chiun Precision Industry plans to further expand production capacity for another two product lines - heat spreaders and LED photocouplers - by 30% each, with the additional capacity scheduled to come online in......more
- Chip M&A deals reach US$22 billion in first 8 months of 2021
After a record-high start in the first quarter of 2021, semiconductor merger and acquisition announcements cool off a bit without the "megadeals" seen in 2020, according to IC Insights. After a surge in s......more
- China power restrictions hitting industries
China has imposed a power cut policy that has hit many factories in many of provinces. Taiwan-based PCB makers who have manufacturing lines in Suzhou and Kunshan have stopped production following orders from local gov......more
- Taiwan IC vendors to raise prices
Increasing foundry and materials costs are prompting some major IC vendors in Taiwan to decide to raise their product prices in fourth quarter of 2021. In China, many components makers are being affected by the C......more
- With ‘Mega-Fab’ Coming, Intel’s Gelsinger Promotes Chip Legislation
As the U.S. semiconductor industry awaits enactment of a chip legislation that among other things would provide tax and other incentives to build domestic foundries, Intel Corp. is in the midst of negotiations to construct a U.......more
- EV Makers Receive A First-Half Jolt
As traffic gradually returns to pre-pandemic volumes, you may have noticed among the brake lights more electric vehicles. More Teslas, an occasional Ford Mustang Mach-E, even a top-of-the-line Porsche Taycan (the supposed &ldqu......more
- Top-5 notebook vendors see combined shipments slip in August
The impact of chip shortages that are in varying degrees had a negative impact on the overall notebooks shipped by the world's top-5 notebook brands (excluding Apple) in August, which saw a 3% sequential drop, according to ......more
- Worldwide foundry sales to top US$100 billion in 2021
Total foundry sales this year will surpass the US$100-billion mark for the first time, and continue increasing at a strong 11.6% average annual growth rate through 2025 when total foundry sales are expected to reach US$151.2 bi......more
- Changes by IPC companies trigger new wave of component shortages
External circumstances and changing industry demands have continued to impact various industry chains since the second half of 2020. For IPC companies, the consensus in 2021 is that they can only produce as many products as the......more
- Water Management Innovation is Central to the Future of ICs
That water is in short supply is not news, but things are set to get more challenging. Close to 2.4 billion people already live in “water scarce” areas, according to the World Data Lab, which operates the Water Scar......more
- Taiwan LCD driver IC suppliers say orders remain strong
Some Taiwan-based LCD driver IC suppliers have claimed their book-to-bill ratios remain above one with clear order visibility through the end of this year and even 2022, in response to recent reports indicating LCD pa......more
- Vanchip tapping Wi-Fi 6 RF FEM market
China-based Vanchip Technologies, a subsidiary of MediaTek, is partnering with IC distributor WPG and GaAs foundry Win Semiconductors to promote its Wi-Fi 6 RF front-end modules (RF FEM) including power amplifier (PA)......more
- IC packaging materials distributors enjoy strong wire-bonding demand
IC packaging materials distributors continue to see strong demand for wire-bonding operations despite uncertainties facing sales of notebooks, handsets and other end-market devices in the fourth quarter of the year, accord......more
- Taiwan makes quantum computer development a priority
The substantial increase in the number of quantum bits, also called qubits, and structural dimensions of logic gates have made the fidelity and connectivity of qubits highly critical. Taking into consideration Taiwan......more
- Taiwan IC vendors set to raise prices
Tight supply has pushed up foundry costs, fueling pressure on IC design clients. First-tier IC design houses are now ready to increase prices for their chip solutions in first-quarter 2022. Pure-play fo......more
- Arm, Taiwan's ITRI join force to help IC design startups grow
Arm is partnering with Taiwan's Industrial Technology Research Institute (ITRI) to develop an ecosystem platform available for international IC design startups looking to invest in Taiwan. A press event was hel......more
- IC analyzer MA-tek sees robust orders for AI chips, 3rd-gen semiconductors
IC analysis and certification lab Material Analysis Technology (MA-tek) has enjoyed a strong influx of MA (materials analysis) and FA (failure analysis) orders for AI chips and third-generation semiconductors from foundrie......more
- LCD driver IC designers to be under thorny process of hiking prices
LCD driver IC design houses will hike quotes to reflect continually increased costs for wafer foundry services but have been faced with reluctance from clients, LCD panel makers, because panel prices have kept falling......more
- IC design houses brace for weaker profits
IC design houses are caught between rising foundry costs and weakening end-market demand. The IC designers' sales and profits for foruth-quarter 2021 are coming under pressure. And PSMC is yet ......more
- Electronics manufacturing in Vietnam thrives; IC manufacturing in Malaysia disrupted
Vietnam saw a large increase in its exports of mobile devices and related components in July and higher foreign investment from South Korea-based electronics vendors, while Oppo made its way to the top in Thailand in second-qua......more
- Backend firms see robust demand for MEMS microphones, audio ICs
Taiwan's backend houses including ASE Technology, Greatek Electronics, Orient Semiconductor Electronics (OSE), Lingsen Precision Industries and Taiwan IC Packaging have seen clear order visibility for audio chips ......more
- With ‘Mega-Fab’ Coming, Intel’s Gelsinger Promotes Chip Legislation
As the U.S. semiconductor industry awaits enactment of a chip legislation that among other things would provide tax and other incentives to build domestic foundries, Intel Corp. is in the midst of negotiations to construct a U.......more
- Chip shortage reportedly hinders new MacBook Pro production
Chip shortages have been troubling many vendors, including Apple, whose much anticipated miniLED-backlit MacBook Pro series may be unveiled later than expected. The electric vehicle market looks so promising that many......more
- Robust HDI PCB demand for high-end applications fueling capacity expansions
HDI PCB demand has been robust for high-end applications, and major makers including Unimicron Technology, Compeq Manufacturing, and Tripod Technology are planning to enforce capacity expansions in 2022 to meet the demand, acco......more
- Taiwan chip vendors to gain influence in 2022 with major advantages
Taiwan chip vendors including MediaTek will continue to play an influential role in the global high-tech sectors in 2022 with major advantages including strong IC design prowess, effective marketing strategy and sound......more
- Akhan Semi Targets Automotive with Diamond IC Ramp
Just weeks after demonstrating its ability to make 300-mm CMOS wafers using a thin layer of diamond, EE Times caught up with Akhan Semiconductor Chairman Adam Khan for a follow-up to our previous interview&n......more
- Fabless IC designers under price hike pressure
Foundry houses have been raising their service quotes, but fabless IC designers are finding it more and more difficult to pass on the increases in production costs to their downstream clients who are seeing ......more
- SilTerra unveils new technology for automotive application ICs
SilTerra Malaysia Sdn Bhd has unveiled a new technology for automotive application integrated circuits ("ICs") or chips used in vehicles. SilTerra's 180nm Bipolar-CMOS-DMOS ("BCD") automotive grade pr......more
- Leadframe demand for car power modules promising in next 2-3 years
Taiwan-based leadframe makers Shuen Der Industry (SDI) and Jih Lin Technology are optimistic about shipments for automotive power components and modules over the next two to three years, according to industry sources. T......more
- Taiwan July manufacturing production index down on month
Taiwan recorded manufacturing production index (2016 as base year) of 137.34 for July 2021, decreasing 1.90% sequentially but increasing 15.02% on year, according to Ministry of Economic Affairs (MOEA). Based on MOEA......more
- IC distributors see order visibility for Wi-Fi chips extend to 1Q22
Taiwan-based IC distributors including Answer Technology (ANStek), GMI Technology, WPG and WT Microelectronics have all seen a strong pull-in of orders for Wi-Fi and other networking chips with order visibility extend......more
- Samsung regains top spot in 2Q21 chip rankings
Samsung Electronics replaced Intel as the number one quarterly semiconductor supplier in the second quarter of 2021, according to IC Insights. Driven by surging demand and rising prices for DRAM and flash memory, S......more
- China foundries to see strong profits
China-based foundries may not be able to provide manufacturing sevices using the most advanced processes, but they see strong demand from the domestic market. SMIC and Huahong are expected to report handsome......more
- Chinese firm to spend big building ABF substrate lines
In line with the country's bid to improve semiconductor self-sufficiency, China-based PCB maker Shennan Circuits has made plans to invest almost US$1 billion in building ABF substrate production lines. In Korea,&n......more
- PC makers see short supply of small ICs
IC shortage is disrupting production at many industry sectors amid tight foundry capacity. PC makers have disclosed that short supply of small ICs is expected to persist through 2022. Taiwan's MOSFE......more
- Taiwan IC designers expect Type-C chips to grow over 50% globally in 2021, 2022
Taiwan-based IC design houses are generally optimistic about globally increasing adoption of USB Type-C interface specification, and expect global demand for Type-C chips to grow over 50% on year in 2021 and 2022......more
- Automotive MCU sales to surge 23% in 2021 despite shortages
Despite some ongoing shortages of microcontrollers and automakers having to temporarily close assembly lines this year, automotive MCU sales are forecast to surge 23% in the economic recovery of 2021 to a record-high level......more
- Zheng Ding, Flexium starting volume FPCB shipments for new iPhones
Taiwan's leading flexible PCB maker Zhen Ding Technology and flexible antenna board supplier Flexium Interconnect have kicked off peak-season shipments as scheduled for new iPhones and other Apple devices, with th......more
- Automotive chip shortage unlikely to fully ease till 2H22
Car chip supply has improved thanks to more support from foundry houses. But automotive chip shortage will still last for some time. Notebook ODMs may have reported significant results for the second quarter, but thei......more
- Samsung intros 5nm processor for wearables
Samsung Electronics has announced its new wearable processor, the Exynos W920. The new processor integrates an LTE modem, and is built with an advanced 5nm extreme ultra-violet (EUV) process node. The Exynos W920 packs two A......more
- Global 2Q21 server shipment growth constrained by chip shortage
Global server shipments grew only 9.2% sequentially in the second quarter of 2021, weaker than Digitimes Research's estimation of 14% growth made in April, due to shortages of components and ICs. The volumes will gr......more
- Chip shortage continues to impact LCD panel makers
Taiwan-based LCD panel makers continue to feel the impact of chip shortages, which will likely persist for the next 2-3 years, according to industry sources. The price of LCD TV panels below 55 inches has been further declin......more
- Growth expected for nearly all IC products in 2021
IC Insights has released its ranking of revenue growth rates for the 33 IC product categories in 2021, and forecast for 2021. Among the 33 IC product categories, 32 will exprerience sales growth this ye......more
- Supply of LED driver ICs 20-30% short of demand, says Macroblock
Along with increasing demand for fine-pitch LED displays and lighting products, global supply of LED driver ICs has been 20-30% short of demand, according to Taiwan-based LED driver IC design house Macroblock. ......more
- China PCB maker DSBJ to step into IC substrate market
China's PCB maker Dongshan Precision Manufacturing (DSBJ) has disclosed plans to invest CNY1.5 billion (US$232.1 million) in establishing a new wholly-owned subsidiary that will be dedicated to production and sale of I......more
- IC design houses form alliances to strengthen competitiveness
IC designers in Taiwan are poised to embrace the most lucrative year in 2021 amid the persistent crunch of chips, but they are also facing increasing cost pressure on developing new-generation chip solutions, which may res......more
- IC unit shipments to surge 21% in 2021
IC unit shipments are forecast to surge 21% in 2021, following an 8% increase in 2020 and a 6% drop in 2019, according to IC Insights. IC unit shipments for 2021 will reach 391.2 billion, more than 11 tim......more
- GIS expects fingerprint sensor modules to drive future growth
Touch panel specialist General Interface Solution (GIS) expects sales of its fingerprint recognition solutions to climb as a proportion of company revenue to 20-30% in 2023 and 2024, compared with the current 5-6%. ......more
- IC substrate maker Kinsus posts 2-fold profit jump in 2Q21
IC substrate maker Kinsus Interconnect Technology saw its net profits for second-quarter 2021 shoot up 202% on year to NT$1.01 billion (US$36.1 million), thanks to substantial improvements in production yield rates and eff......more
- MediaTek terminates deal to acquire assets related to PWM IC from Intel
MediaTek has announced that on behalf of subsidiary Richtek Technology, its agreement with Intel to acquire assets related to the power management solutions product line sold under the Enpirion brand owned by Intel and its subs......more
- Foundry houses gear up for car chips
Demand from the car sector has been strong, and foundry houses are stepping up efforts to rolling out more automotive chips. Apple's manufacturing partners are seeing COVID-induced disruptions to their production in Vi......more
- IC materials distributor CWE to transform into manufacturer
Chang Wah Electomaterials (CWE), after building a solid presence in the distribution of semiconductor materials, is on track to transform into a manufacturer of diverse materials including even front-end process solutions. C......more
- Apple and carmakers seeking more IC supply
Chip shortage gas been serious, but Apple and carmakers are both asking for more ICs, the former looking to secure supply for its upcoming devices and the latter to prevent dirsuptions to their productions. Chinese IC......more
- Top chips makers reluctant to respond to India grants
In light of the global semiconductor demand surges and chip companies looking to avoid the risks from the trade war and supply chain storage, the India government has recently provided new incentives to global semiconductor com......more
- PCB makers continue to see shipments constrained
PCB manufacturers engaged in the notebook, tablet and other PC industry supply chain continue to see their shipments constrained by shortages of various chips in varying degrees, which may persist through the second half of thi......more
- Automotive component and parts suppliers cautious about 2H21
Automotive component and parts suppliers are cautious about shipments in the second half of this year, despite brisk order visibility they have, according to industry sources. Demand has recovered, but shortage of semiconduc......more
- Taiwan maintains edge as largest base for IC wafer capacity, says IC Insights
As of December 2020, Taiwan led the world with 21.4% of global wafer capacity installed in that country, according to IC Insights. In second place was South Korea, which accounted for 20.4% of global wafer capacity. ......more
- YoungTek benefits from strong demand for IC testing, miniLED backlighting
IC testing service provider and equipment developer YoungTek Electronics (YTEC) has seen strong demand for IC testing services and equipment as well as equipment for miniLED backlighting, according to industry so......more
- Upstream PCB materials supply remains tight
PCB manufacturers continue to see their supply constrained by the ongoing shortage of related upstream materials, such as copper foils and epoxy resin, according to industry sources. Upstream PCB materials suppliers continue......more
- SAA expects higher PCB revenues for 2H21
Symtek Automation Asia (SAA), an automated semiconductor equipment supplier, has seen its first-tier customers raise capital spending in a bid to push their development of 5G and HPC technologies. This has driven up the number ......more
- GaAs IC firms to see strong PA demand for 5G handsets,Wi-Fi 6E devices in 2H21
GaAs IC foundries Win Semiconductors and Advanced Wireless Semiconductor Company (AWSC), and GaAs epi-wafer supplier Visual Photonics Epitaxy Company (VPEC) are all poised to log significant revenue gains in the secon......more
- Development of on-device AI chips for smart speakers gaining momentum
The development of on-device AI chips for smart speaker applications is gaining momentum as brand vendors are incorporating those chips into their speaker products to improve related real-time voice services and sound quality a......more
- IC materials distributors see clear order visibility through 1Q22
Taiwan-based distributors for IC fabrication and packaging materials have all seen their order visibility extended to the first quarter of 2022, bolstered by a persistent booming semiconductor industry, according to i......more
- Analog IC vendors gain foundry support, eyeing record 3Q21 sales
Taiwan-based analog IC vendors are poised to see their third-quarter 2021 revenues hit new highs again on the growing availability of foundry capacity support from 8-inch fabs, according to industry sources. The ve......more
- Foundries fulfilling orders for automotive chips as top priority in 3Q21
Pure-play foundries are focusing on fulfilling chip orders coming from the car industry in the third quarter of 2021, allocating more of their available capacities to orders for automotive ICs, according to industry source......more
- IC test interface vendors CHPT, Keystone to enjoy strong 2H21
Taiwan-based IC test solutions providers Chunghwa Precision Test Tech (CHPT) and Keystone Microtech are both expected to enjoy a strong second half of 2021, thanks to robust demand for handset application processors, ......more
- Automotive PCB vendors set to scale up shipments in 2H21
Taiwan's automotive PCB suppliers are poised to embrace notable shipment increases in the second half of the year, bolstered by the growing improvement to automotive IC supply, according to industry sources. TS......more
- Car chip vendors seek long-term deals with foundries
The car industry used to keep lean inventory, but automotive chip vendors have now been striking long-term deals with foundry houses in order to secure supply for the next two years. Thanks to priority supply from fou......more
- Notebook chip and component shortage to improve in 4Q21
The ongoing shortage of notebook-use chips, panels and other components is expected to improve substantially in the fourth quarter of 2021, according to Digitimes Research. Supplies of panel modules, ICs and CPUs are still o......more
- Iteq to develop high-end CCLs for handsets, IC substrates, mmWave antennas
Taiwan's CCL maker Iteq will step up its business diversification, with more efforts on developing high-end CCL materials for handset, IC substrate and flexible mmWave antenna applications, in addition to its high......more
- Server chips in serious shortage
The server sector has seen chip shortages worsening, with lead time for critical ICs for server production extended to 52 weeks. Improvements are unlikely until at least fourth-quarter 2021. Chip supply has been tight......more
- IC shortage, material cost hikes to impact Malaysia carmaking industry, says MAA president
Globally prevailing shortage ICs and increases in cost of components and materials are expected to negatively affect the automobile manufacturing industry in Malaysia, a local media report has cited Malaysian Automotive As......more
- Worldwide IC market forecast to top US$500 billion in 2021
Strong demand across the entire IC market this year is projected to lift sales for the total IC market by 24% and break through the US$500 billion plateau for the first time in history, according to IC&......more
- High-speed transmission chip demand to surge in 3Q21
Chip orders for servers, particularly high-speed transmission chip orders, will be ramping up substantially in the third quarter of 2021, according to industry sources. Taiwan-based IC designers including ASMedia T......more
- India pushing to attract investments from tech firms
India is looking to attract more ICT manufacturers to invest in India, hoping to fill the gap at the local ICT supply chain, and has been accepting letter of intent for investment from semiconductor companies since th......more
- Backend demand for HPC chips strong, but ABF substrate support matters
Taiwan's OSATs, now sustaining full capacity utilization for wire-bonding process for lower-end logic chips, are embracing strong backend demand for diverse HPC chips, but their actual business performance in this......more
- IC substrate makers see supply stay tight despite cryptomining slowdown
IC substrate makers continue to see their output fall short of demand, despite a recent slowdown in cryptomining demand, according to industry sources. With cryptomining chip vendors shifting to adopt BT substrates for ......more
- PTI obtains new bumping orders for logic chips
Memory backend specialist Powertech Technology (PTI) has recently obtained wafer bumping orders for networking chips from Broadcom and MediaTek, indicating a significant payoff in its deployments in the logic IC field, acc......more
- Car chip supply to increase in 2H21
With more capcity support from foundry houses, car chip vendors can now ramp up their output. Some international automotive IC vendors have notified their clients that they can expect more supplies in second-half 2021......more
- Taiwan IC design houses remain upbeat about 3Q21
Taiwan-based IC design houses remain upbeat about their sales growth in the third quarter of 2021, despite a recent cutback in orders from the notebook sector, according to industry sources. Most notebook clients a......more
- Wistron NeWeb, Arcadyan see easing of component shortages in 3Q21
Some networking device makers, including Wistron NeWeb and Arcadyan Technology, have said the shortages of some parts and components currently affecting the production of networking devices may ease a bit in third quarter 2021.......more
- Smartphone components to see orders from Apple rise in 2H21
China's smartphone demand failed to gain as much momentum as expected during the Labor Day holidays, resulting in declines in smartphone component shipments in the second quarter, but related orders from Apple will begin to......more
- COVID-19 cluster infections at Taiwan IC firms put under control
COVID-19 cluster infections among migrant workers at King Yuan Electronics and several other Taiwan-based IC companies' factory sites in Miaoli of northern Taiwan have been brought under control. With power and......more
- IC Insights raises 2021 IC market forecast to 24% growth
IC Insights has raised its IC market growth forecast for 2021 to 24%, compared with its previous estimate of 19% growth. If excluding memory, the total IC market is expected to increase 21% this year......more
- Taiwan IC design houses upbeat about shipments for 5G phones
Taiwan-based IC design houses are optimistic about their shipments for smartphone applications in the third quarter of 2021, thanks to the upcoming rollouts of new 5G-enabled models, particularly by Chinese brand......more
- IC materials distributors upbeat about sales in 3Q21
Taiwan's semiconductor materials distributors including Topco Scientific, Wah Lee Industrial, Topco Technologies, and Chang Wah Electromaterials are all upbeat about their sales in the third quarter of the year due to stron......more
- Automotive IC market share slips in 2020
The automotive share of the total IC market has never been greater than 9.0% while the communications share of the IC market peaked at 37.2% in 2013, according to IC Insights. In 2020, the communic......more
- MCU firm Megawin optimistic about profit outlook
MCU supplier Megawin Technology is on track to swing back to profitability in 2021, having experienced profits for three consecutive quarters as of the first of the year. Megawin has seen its sales and earnings continue to i......more
- Taiwan IC vendors upbeat about PC, handset chip demand in 2H21
Taiwan-based IC design houses see mixed shipment momentum for different segments in the second half of the year, with PC peripheral chips to sustain strong demand, handset chips to enjoy a surge in shipments, and chip......more
- Unimicron to sustain strong shipments of IC substrates, HDI boards
IC substrate and PCB supplier Unimicron Technology expects to sustain strong shipments throughout 2021, inspired by very clear order visibility for all its major product lines in the months ahead, according to industry sou......more
- COVID threatens Taiwan IC supply chain
Taiwan's tech firms have intensified coronavirus-prevention measures after COVID-19 hit some local semiconductors plants, with KYEC bearing the brunt of the impacts, raising concerns about the stability of IC supp......more
- Strong IC substrate shipments continue to buoy Nan Ya, Kinsus
Nan Ya PCB and Kinsus Interconnect Technology continued to see their May sales driven by robust shipments of IC substrates, and are both poised to enjoy a strong 2021, according to industry sources. The outlook for......more
- PC vendors want more control over components sourcing
Components shortage has been troubling the PC sector for months. PC brand vendors, seeking to secure components supply, have moved to directly place orders with IC design houses, skipping their ODMs. Apple is exp......more
- Texas Instruments remains top analog IC supplier
With analog sales of US$10.9 billion and 19% marketshare, Texas Instruments (TI) maintained its firm grip as the leading supplier of analog devices in 2020, according to IC Insights. TI's analog sales increased ab......more
- TSMC fast expanding capacity
TSMC is gearing up expansion of its capacity in response to strong demand from diverse sectors. According to the foundry's CEO, TSMC will invest a total of US$100 billion in capacity expansion through 2023. In the......more
- AT&S to set up ABF substrate production base in Southeast Asia
AT&S has disclosed plans to invest EUR1.7 billion (US$2.07 billion) to set up a new production base for ABF substrates in Southeast Asia to satisfy the needs of its major HPC chip clients. This would be the lar......more
- Vietnam stepping up IC industry development
Vietnam is stepping up the development of its homegrown semiconductor industry, which will be a potential driver of its future exports growth. Vietnam's homegrown semiconductor sector is expected to grasp new business op......more
- Passive components shipments affected by IC shortages
Passive components makers are closely monitoring the impact of IC shortages on their actual shipments for notebook, server and automotive segments, so as to work out optimal materials procurement and inventory policie......more
- Taiwan IC design houses see orders pick up for servers
Chip orders for servers and datacenters have started picking up, according to sources at Taiwan-based IC design houses, which expect the orders pull-in momentum to carry on through the first half of 2022. IC d......more
- Tesla reportedly scrambling for IC supply
Tesla is reportedly approaching IC suppliers in Taiwan, Korea and the US, seeking to secure steady supplies of automotive chips with advance payments, according to industry sources. It might be difficult for Tesla ......more
- IC test interface vendors keenly developing high-specs solutions for EV chips
IC test interfaces that can withstand high current of up to 1,000 A and high voltage of 1,200V will see a significant surge in demand for processing high-current driver ICs and other automotive electronics needed in E......more
- Taiwan III-V IC firms gearing up for car LiDAR demand
Taiwan's III-V semiconductor players including foundry Win Semiconductors and epi-wafer supplier Visual Photonics Epitaxy (VPEC) have cut into the supply chains of major carmakers and China's EV vendors by providing ToF......more
- Taiwan testing houses gearing up for AiP, 3nm chips
Taiwan-based IC testing houses including King Yuan Electronics (KYEC), Sigurd Microelectronics and Ardentec have all kicked off R&D for more advanced 3nm-made chips, while also exerting efforts to develop solution......more
- IC supply constraint may limit Taiwan export growth in 2021
Whether Taiwan's IC industry supply chain can maintain its stability will play a crucial role in the country's export growth this year. With ICs playing an increasingly important role in Taiwan's t......more
- Memory IC sales poised to hit record in 2022, says IC Insights
Fueled by economic recovery and the transition to a digital economy, memory IC sales are forecast to top US$180 billion in 2022, exceeding the previous record high set in 2018, according to IC Insights.......more
- IC equipment maker Group Up enjoys strong demand for IC substrates
Group Up Industrial (GP) has landed significant amounts of orders, particularly those catering to the manufacture of ABF substrates and BT substrates for AiP modules this year, according to the Taiwan-based maker dedicated to s......more
- IC-design houses in Taiwan adopt WFH measures amid COVID-19 surge
MediaTek, Qualcomm Taiwan and other IC design houses in Taiwan have adopted work-from-home and other precautionary measures amid a spike of COVID-19 infections locally, according to industry sources. Some companies......more
- COVID-19 rise casts shadow over IC market outlook
A resurgence of COVID-19 infections in India and Southeast Asia, as well as a spike in confirmed cases in Taiwan, may cast clouds on the IC market outlook this year, according to industry sources. The COVID-19 resu......more
- Reimagining the IC Supply Chain to Prevent the Next Shortage
The consensus that emerged from an April 2021 meeting of high-level corporate executives at The White House to discuss fixes to the ongoing semiconductor shortage echoed what observers have been saying for months......more
- Renesas to Pitch Fast Wireless Charging to 5G Mobile Platform
Renesas Electronics has unveiled a new 30W wireless charging receiver chip, which is designed to fit into Qualcomm’s Snapdragon 5G Mobile Platform. Renesas said its P9412 enables Qualcomm to be the first to offer a fast 3......more
- Analog IC specialist GMT expects record revenue in 2Q21
Taiwan-based Global Mixed-mode Technology (GMT), a supplier of analog and power management (PWM) ICs, expects to see its second-quarter revenue grow up to 10% sequentially to a record high. GMT expects to post revenue o......more
- Component shortages in networking sector to last till year-end 2021
The shortages of crucial parts and materials in the networking industry are likely to persist till the end of 2021, affecting the sales performance of related makers for the year, according to sources at Wistron Neweb. Wistr......more
- Taiwan IC substrate suppliers to embrace strong 2Q21
Unimicron Technology, Nan Ya PCB and Kinsus Interconnect Technology have all posted both sequential and on-year increases for their April revenues, and are poised to embrace brisk results in second-quarter 2021 driven by strong......more
- Zhen Ding to log record capex for IC substrate, HDI board in 2021
Taiwan's leading PCB maker Zhen Ding Technology will see its 2021 capex grow slightly on year to a new high of over NT$22 billion (US$785.71 million) for building new capacity for offerings including IC substrates......more
- Negative factors from IC shortages begin to show
Because of worsening shortages of ICs and components, downstream ICT product makers are expected to decelerate their paces in specs upgrades for their new products as their upstream partners will not have en......more
- Samsung to regain top semiconductor supplier ranking in 2Q21, says IC Insights
Driven by a resurgent memory market and relatively flat sales results from Intel, IC Insights believes that Samsung will again replace Intel as the leading semiconductor producer beginning in the second quarter of thi......more
- Taiwan IC design houses bracing for strong sales in 2Q21
Taiwan-based IC design houses are bracing for a robust second-quarter 2021, fueled by persistently strong demand along with rising chip prices, according to industry sources. Some first-tier players, including Medi......more
- Driver IC backend capacity utilization unstable
Display driver IC (DDI) backend specialists Chipbond Technology and ChipMOS Technologies have seen unstable capacity utilization for processing small- to medium-size applications due mainly to unstable wafer shipments......more
- Fabless IC Makers Hot, IDMs Not So Much
Fabless chip company sales continued their steady climb over the last year, setting a new record in 2020, according to IC Insights, which also reported sluggish sales growth over the last 12 months for integrated device manufac......more
- Booming sales of AMD HPC chips to benefit IC distributors, testing firms
Some Taiwan-based IC distributors and testing service vendors in AMD's supply chain are benefiting significantly from robust sales of the US firm's HPC (high-performance computing) chips to the datacenter sect......more
- Taiwan IC design houses see 1Q21 gross margins hike
Taiwan-based IC design houses particularly those specializing in display driver ICs and MOSFET chips are set to see their gross margins and profitability hike in the first quarter of 2021, buoyed by rising ASPs o......more
- Component shortages continue to affect ICT supply chain
The ICT supply chain continues to be influenced by serious component shortages and UMC is now looking to have its major clients to enter into 3-year supply agreement. Meanwhile, LCD panel supply is expected to gr......more
- Taiwan March manufacturing production index up
Taiwan recorded manufacturing production index (2016 as base year) of 139.42 for March 2021, increasing 28.81% sequentially and 18.05% on year, according to the Ministry of Economic Affairs (MOEA). Based on MOEA's s......more
- Samsung, TSMC are Spending to Widen IC Manufacturing Lead
TAIPEI — Samsung and Taiwan Semiconductor Manufacturing Co. (TSMC) are poised to widen their IC manufacturing lead in the chip industry this year as they outspend rivals in production technology, according to industry wat......more
- Macroblock launches miniLED backlighting driver ICs
Macroblock has launched four models of miniLED backlighting driver ICs for screens of different sizes. Highly integrated driver ICs have technologically evolved to multi-channel scanning architecture, said Macroblock chairma......more
- Apple introduces new iPad Pros featuring M1 chip and Liquid Retina XDR display
Apple has announced a new iPad Pro equipped with in-house-designed M1 chip and a 12.9-inch Liquid Retina XDR display, and has also unveiled an 11-inch model. The new iPad Pros support 5G wireless connectivity and f......more
- Chip prices to see dynamic adjustments as foundry quotes rise
As wafer foundry quotes continue rising amid tight capacity, chip vendors are expected to dynamically hike their quotes to reflect increasing costs in the next quarters, according to sources from leading design houses. TSMC ......more
- Foxconn reportedly eyeing Macronix 6-inch fab
Foxconn Electronics (Hon Hai Precision Industry) is interested in acquiring 6-inch or 8-inch semiconductor fabs, according to company chairman Young-Way Liu in response to speculation that the EMS giant is looking to take over ......more
- Fabless IC firms diversifying foundry sources
Industry-wide shortage of foundry capacity has sent fabless IC design houses scrambling for support. They are now diversifying their foundry service suppliers in order to secure support. Foundry houses and f......more
- Acer to acquire stake in display driver IC company
Acer has disclosed plans to acquire a stake in FocalTech Systems, a Taiwan-based display driver IC supplier, for up to NT$1.5 billion (US$53.21 million). Acer considers the deal as a long-term investment, according to a comp......more
- ICs play bigger role in Taiwan exports
ICs accounted for a record-high 35.5% of Taiwan's total exports in 2020, indicating Taiwan-based chipmakers are increasingly critical to the country's economy, according to Digitimes Research. Taiwan is where industr......more
- US companies hold 55% of worldwide IC market in 2020, says IC Insights
US companies held a 50% share of total IDM sales and a 64% share of fabless sales worldwide in 2020, capturing 55% of total IC sales worldwide last year, according to IC Insights. South Korea-based compan......more
- Wi-Fi 6E chips to bring business for test, certification labs in 2H21
Chipmakers are set to roll out Wi-Fi 6E chip solutions in the second half of the year, bringing new business opportunities for IC test and certification labs including Sporton International. Sporton vice chairman P......more
- IC and material distributors to embrace strong profit growth in 2021
Taiwan-based IC and material distributors are expected to see their profit growths this year outperform revenue increases, as shortages of IC parts and materials are sending prices up, according to industry ......more
- IC packaging, PCB material prices rise
Materials for PCBs and IC packaging have seen prices rising and the upward trend is set to continue in the second quarter, according to industry sources in Taiwan. Sumitomo Bakelite has raised quotes for epoxy resi......more
- Tight TXCO IC supply likely to ease in 3Q21
Tight global supply of TXCO (temperature-compensated crystal oscillator) controller ICs seen after a fire damaged in October 2020 a plant operated by Japan's leading vendor AKM is expected to ease in the third quarter ......more
- Taiwan 1st-tier IC design houses set to post strong 1Q21 results
Taiwan-based first-tier IC design houses including MediaTek, Novatek Microelectronics and Realtek Semiconductor are believed to have seen their March revenues climb substantially on month and boost their revenues for ......more
- CHPT cooperates with CHT to set up 5G-based smart factory
IC testing interface solution provider Chunghwa Precision Test (CHPT) has upgraded its factory in northern Taiwan into 5G-based smart facility through cooperation with Chunghwa Telecom (CHT), its parent company, according ......more
- IC equipment vendor KLA to boost local team in Taiwan
US-based semiconductor equipment vendor KLA is looking to beef up its operations in Taiwan with plans to hire an additional 100 staff this year. KLA said it will kick off its spring recruitment program in April looking to hi......more
- Taiwan PCB makers to enjoy strong 1H21
Taiwan-based PCB and IC substrate companies are poised to enjoy a particularly strong first half of 2021, thanks to robust demand for high-end substrates and HDI boards, according to industry sources. HDI PCB manuf......more
- U.S. IC Companies Maintain Global Marketshare Lead
Propelled by 51% share of IDM sales and 65% share of fabless sales, U.S. companies captured 55% of the total worldwide IC market in 2019, according to semiconductor research firm IC Insights. Regional marketshare of IDM......more
- Fire at Renesas may undermine IC supply
Renesas saw one of its plants in northern Japan struck by fire and the incident could lead to a further tightening of global IC capacity for automotive chips, but Taiwan-based PTI is expected to see shifted order......more
- Taiwan IC suppliers begin to enhance their presence in global automotive chip market
Although many Taiwan-based IC design houses have joined Foxconn Technology's MIH platform to tap the global automotive chip market, it remains to be seen when efforts initiated by those IC makers could s......more
- Alliance formed in Taiwan to promote 5G smart pole standards
A total of 25 companies in Taiwan have newly formed a 5G Smart Pole Standard Promotion Alliance, aiming to draft technological standards and tap huge smart-city business opportunities worldwide. The alliance's first chai......more
- China IC design houses see record output in 2020
China's IC design sector saw out grow 24% to hit a record high in 2020, with the top-five vendors accounting for nearly 50% of the total, Digitimes Research has found. Chips related to communication, consumer d......more
- MCU makers poised to see significant growths in March and 2Q21
Taiwan-based MCU suppliers are likely to see their revenues increase significantly in March and second-quarter 2021 thanks to capacity support from wafer foundry houses and IC backend service firms, according to indus......more
- Japan, Korea PCB makers shifting production focus to IC substrates
PCB makers in Japan and South Korea have been shifting production focus to IC substrates from segments of traditional multi-layer rigid boards and even higher-end HDI, flexible PCB and rigid-flex boards, where Taiwan ......more
- IC materials distributors buoyed by strong e-paper DDI demand
Semiconductor materials distributors are expected to gain profit momentum from brisk sales of COG or COF packaging materials driven by robust demand for e-paper and ESL (electronic shelf label) display driver ICs (DDI), apart f......more
- Taiwan MCU firms to see revenue growth starting March
With seasonality boosting end-market demand, Taiwan-based MCU and other consumer IC suppliers are poised to see revenues increase starting March, according to industry sources. Taiwanese MCU suppliers including Hol......more
- Analog IC vendor Silergy to score record revenues, profits in 2021
Analog IC design house Silergy is expected to see its revenues and profits hit record highs in 2021 thanks to significant product mix improvement and strong demand for handset, datacenter, panel and automotive applica......more
- IC and material distributors to embrace strong 2Q21
Taiwan-based distributors of ICs and materials continue to see strong influx of orders, which will buoy their sales performance through the second quarter, according to industry sources. Major system makers have increas......more
- LCD driver IC prices to rise on tight supply
LCD panel makers have seen orders picking up, in turn boosting their demand for driver ICs. But supply of LCD driver ICs has fallen far short of demand, prompting vendors to consider raising prices. Many other compone......more
- Unimicron to lease land for IC substrate capacity expansion
Taiwan's IC substrate maker Unimicron Technology has decided to lease a land lot in northern Taiwan to prepare for further capacity expansions beyond those already underway, expecting capacity demand from clients ......more
- Taiwan ABF substrate makers to gain from China homegrown CPU development
Taiwan's IC substrate makers with their strong technological prowess are in pole position to explore business opportunities from China's ongoing homegrown CPU development campaign, according to industry source......more
- Power IC supplier uPI gains foundry support
Taiwan-based uPI Semiconductor, an Asustek Computer affliate specializing in high-performance power chips and discrete solutions, has obtained sufficient 8-inch fab capacity support from United Microelectronics (UMC) and Powerc......more
- IC Insights raises 2021 IC market forecast to 19% growth
IC Insights has raised its IC market growth forecast for 2021 to 19%, up 7pp from its previous estimate of 12% growth. The 19% market growth is forecast to be driven by a 17% surge in IC unit volume ......more
- Fabless IC firms facing quote hikes at backend partners
Taiwan's IC designers are facing increasingly tight capacity and resultant quote hikes at their backend partners though foundry capacity they booked in fourth-quarter 2020 are being fulfilled starting late first-q......more
- Taiwan IC designers seeking support from Chinese OSATs
Semiconductor manufacturing capacity has been tight, sending some major IC design houses in Taiwan seeking support from Chinese backend firms for packaging lower-end chips. Notebook vendors and ODMs currentl......more
- Testing demand for 5G chips driving up Sigurd, Sporton revenues
Robust testing demand for 5G chips has started buoying revenues at related suppliers including Sigurd Microelectronics and Sporton International. Sigurd, a veteran backend testing partner for MediaTek's chips, saw its Fe......more
- Nan Ya to spend NT$8 billion on IC substrate capacity expansion
Nan Ya PCB has disclosed plans to spend at least NT$8 billion (US$287.5 million) in 2021, compared to a capex of NT$7.35 billion for 2020, for capacity expansions at its plants in China and Taiwan, particularly for ABF substrat......more
- IC analyzer MA-tek raises quotes for short lead-time orders by 20-50%
IC analysis and certification lab Material Analysis Technology (MA-tek) has decided to raise quotes for short lead-time orders by 20-50%, particularly for surface analysis (SA) services, according to company chairperson Yo......more
- IC design houses passing on rising production costs to clients
Rising foundry and backend quotes are prompting IC design houses to make efforts to pass on higher production costs to customers, according to industry sources in Taiwan. Tight capacities at foundries and backend h......more
- Chip shortages not to ease anytime soon
IC manufacturing capacity has been tight, resulting in chip shortages that some expect to last into 2020. Shortages amid strong demand are sending product prices up - one of those being MOSFET whose sup......more
- Macroblock may start volume shipments for miniLED backlight driver ICs in 2H21
Macroblock has developed miniLED backlighting driver ICs and is likely to begin volume shipments for such driver ICs for use in tablets, notebooks, gaming monitors and TVs in second-half 2021, according to company chairman Yang......more
- Analog IC vendors brace for strong sales in 2021
Most Taiwan-based analog IC design houses are likely to see double-digit growths for their revenues and profits in 2021, judging from their clear order visibility, according to industry sources. Related suppliers, ......more
- China remains largest IC market
China became the largest IC market in the world in 2005 and has continued to grow in size since then. In 2020, China's IC market increased to US$143.4 billion, a 9% gain from US$131.3 billion in 2019, ac......more
- IC, materials distributors embracing strong 1Q21
IC and materials distributors have reported strong revenue results for January 2021 and will experience a particularly strong first quarter this year thanks to downstream clients striving to stockpile more inventories amid......more
- TSMC gives top priority to car chips
Automakers are desperate for support from foundry partners to ease chip shortages disrupting their production, and they are willing to pay extra in return for foundries giving top priority to their orders. TSMC has agreed to gi......more
- IC design houses see clients continue stockpiling
Taiwan-based IC design houses continue to see their clients make efforts to build up inventories, which may result in pressure on the supply chain, according to industry sources. Fabless chipmakers continue to see their......more
- Competition in 5G mmWave SoC market to heat up in 2H21
MediaTek's recently announced mmWave-enabled M80 5G modem chip is likely to fuel competition in the mmWave SoC solution market in the second half of 2021. MediaTek said that its M80 modem chips, which also......more
- Tight IC backend service capacity to ease after Lunar New Year holiday
Taiwan-based IC suppliers expect the current tight capacity at IC backend service firms to ease right after the Lunar New Year holidays, benefiting their business developments from the second quarter and bey......more
- Taiwan IC design houses to embrace strong 1Q21
Taiwan-based IC design houses are poised to embrace brisk sales in the first quarter of 2021 despite foundry capacity constraints, with first-tier players including MediaTek and Novatek Microelectronics likely to gene......more
- Top-10 IC growth categories to enjoy 2021
Each of the top-10 growing IC product categories tracked by IC Insights is expected to see a double-digit increase in sales, but only the top-five segments are forecast to grow faster than the total IC&......more
- CHPT seeking probe card orders from vendors of mobile SoCs, HPC chips
IC testing interface solution provider Chunghwa Precision Test Tech (CHPT) has obtained probe card orders from a handset applications processor vendor, and is vying for orders from another major player in the handset AP se......more
- Notebook ODMs feel pressure from rising components costs
IC components prices are rising as a result of shortages amid tight foundry capacity, heaping pressure on notebook makers. ODMs are mulling hiking notebook manufacturing quotes in order to ease the pressure. Comp......more
- MCU designers hike prices
MCU design houses Holtek Semiconductor and Sonix Technology will hike quotes by 10-15%, and fellow companies Elan Microelectronics, Hycon Technology, Sunplus Technology, Nyquest Technology and Nuvoton Technology are expected to......more
- Automotive chip demand to further constrain foundry support
Taiwanese foundry houses' promises to devote more capacity to making automotive chips have raised concern among smaller IC designers. With the foundry capacity unlikely to see major expansion anytime soon, production of mor......more
- Fabless IC Makers Hot, IDMs Not So Much
Fabless chip company sales continued their steady climb over the last year, setting a new record in 2020, according to IC Insights, which also reported sluggish sales growth over the last 12 months for integrated device manufac......more
- Foundries prioritizing long-term clients
Chip vendors have been striving to obtain capacity support from Taiwan-based foundry houses, which nevertheless have been unable to meet all demand due to tight capacity. The foundries are prioritizing long-term ......more
- PTI set to boost logic IC backend revenue ratio to 50% in mid-2021
Memory backend specialist Powertech Technology (PTI) is gearing up to expand its business in the logic IC field in 2021 with focus placed on flip-chip packaging and bumping services, seeking to become an all-round OSAT, ac......more
- Global IC market to hit record high in 2021, says IC Insights
IC Insights has forecast that the global IC market will rise 12% and set an all-time high of US$441.5 billion in 2021. Throughout its history, the IC industry has experienced amazing highs and endure......more
- Logic IC packager Greatek to raise quotes later in 1Q21
Greatek Electronics, a Powertech Technology (PTI) subsidiary dedicated to logic IC packaging, plans to raise its quotes between the end of February and the beginning of March to reflect its tight capacity, according to Bor......more
- Taiwan IC design houses slow new chip development due to foundry capacity shortag
Taiwan-based IC design houses, including MediaTek, continue to encounter tight capacity at their foundry partners and have to decelerate the development of their new chip products due mainly to advanced-node capacity ......more
- RFID specialist SAG to tap 5G opportunities
Taiwan-based RFID specialist Securitag Assembly Group (SAG) expects the commercialization of 5G networks will be instrumental in boosting sales of its products, including RFID labels and tags, according to company president Chi......more
- Kinsus to expand ABF substrate capacity by 30% in 2021
Taiwan-based IC substrate maker Kinsus Interconnect Technology plans to restore a fire-hit flexible PCB (FPCB) plant in Hsinchu, northern Taiwan, and convert it to produce ABF substrates aiming to expand the company......more
- LED chipmakers raising quotes
As shortages of components continue to haunt the semiconductor industry, LED chipmakers are now looking to raise their product quotes, which will drive downstream LED lighting product vendors to also increase their prices ......more
- Taiwan IC substrate makers see promising demand for SiP, AiP designs
Taiwan-based IC substrate makers are generally cautious about expanding BT-based substrate capacity. Nevertheless, with demand for SiP (system-in-package) and AiP (antenna-in-package) designs set to boom, the companie......more
- MediaTek launches 6nm 5G SoC chips
MediaTek has unveiled its new Dimensity 1200 and 1100 5G smartphone SoC series built using 6nm process technology. MediaTek disclosed that the new Dimensity 1200 has already received TUV Rheinland certification for its 5G pe......more
- Wireless applications and servers to see strong 2021
As 5G and Wi-Fi 6 are becoming the mainstream specifications for the IT market in 2021, Taiwan's III-V IC companies are expected to maintain a high utilization in the year. Server shipments are also......more
- Opto Tech, Tyntek hike prices for Si-based sensor chips
Vertically-integrated LED maker Opto Tech has hiked quotes for Si-based sensor chips, mainly photo diode and photo transistor chips, by 10-15% and fellow maker Tyntek has followed suit by hiking quotes for such product lines by......more
- Taiwan makers benefit from fast growing demand for automotive sensor ICs
Along with fast growing demand for compound and Si-based ICs used in automotive sensor modules, Taiwan-based GaAs epitaxial wafer makers WIN Semiconductors, Advanced Wireless Semiconductor and Visual Photonics Epitaxy as w......more
- Value of semiconductor industry M&A agreements sets record in 2020, says IC Insights
Five big acquisition announcements and more than a dozen smaller deals in 2020 pushed the total value of M&A agreements in the year to an all-time high of US$118 billion, surpassing the previous record of US$107.7 billion r......more
- Taiwan LCD driver IC firms continue to see orders ramp up
Taiwan-based LCD driver IC suppliers continue to enjoy a ramp-up in orders including short lead-time ones, and will see their supply remains tight through the second quarter of 2021, according to industry sources. ......more
- GIS reportedly lands new ultrasonic fingerprint sensor module orders from Samsung
Touch panel maker General Interface Solution (GIS) is expected to lock in the first batch of in-display ultrasonic fingerprint sensor module orders from Samsung Electronics for usage in Korea-based brand's new flagship Gala......more
- IC designers scrambling for 3Q21 foundry support
Tight supply and strong demand are pushing prices up in the secomiconductor sector, ranging from product pricing and manufacturing service quotes. Foundry houses have seen IC designers come knocking on their door......more
- Taiwan December exports hits record
Taiwan's exports totaled US$33 billion in December 2020, the highest-ever monthly level with 3.16% on-month and 12.0% on-year growths, according to the Ministry of Finance (MOF). Imports totaled US$27.24 billion, hitting......more
- Taiwan 2nd-tier IC design houses post record December sales
Taiwan-based second-tier fabless chipmakers including Advanced Power Electronics, Andes Technology, Elan Microelectronics, Fitipower Integrated Technology, M31 Technology and Sitronix Technology have all reported record-high sa......more
- Nan Ya, Kinsus see 4Q20 revenues peak on strong IC substrate demand
IC substrate suppliers Nan Ya PCB and Kinsus Interconnect Technology both saw their fourth-quarter 2020 revenues hit the year's peak, bolstered by higher prices offered by clients to scramble for more capacity support ......more
- China to fall far short of IC self-sufficiency goal by 2025
IC Insights has forecast that China-produced ICs will represent only 19.4% of the country's IC market in 2025, a fraction of the country's "Made in China 2025" goal of 70%. Although Chin......more
- IC designers eye opportunities from Foxconn-led EV development platform
Taiwan-based automotive components vendors are eyeing opportunities from MIH, a software/hardware-integrated EV (eletric vehicle) development open platform launched by Foxconn Electronics in collaboration with Yulon Motor,......more
- Customized IC sockets in hot demand for SiP, SLT applications
Demand for highly-customized IC sockets will sustain growth momentum in 2021 along with increasing application of SiP (system in package) technology to heterogeneous chips integration, according to industry sources. ......more
- China 5G base station deployment may slow down
The 5G infrastructure development in China is facing uncertainty arising from the US sanctions against telecom equipment vendor Huawei, but Taiwan-based suppliers of CCL still expect their overall shipments to remain ......more
- Taiwan display driver IC firms remain upbeat about 2021
Taiwan-based display driver IC (DDI) suppliers who have raised quotes by 10-15% to reflect tight supply and rising costs remain upbeat about 2021, as market conditions will still be favorable to the fabless chipmakers......more
- Airoha to acquire IC Plus
Airoha Technology, a MediaTek subsidiary, will acquire all shares of fellow company IC Plus at NT$22 (US$0.78) per share, according to company filings with the Taiwan Stock Exchange (TWSE). Specializing in Bluetoot......more
- Fabless company share of IC sales to set new record at 32.9% in 2020
With a 22% jump expected in fabless company IC sales this year, led by a forecast US$2.8 billion sales increase by AMD, as compared to only a 6% IC sales increase forecast for the IDMs, IC Insights......more
- WinWay to expand production capacity for high-end IC test sockets
Taiwan-based WinWay Technology, a dedicated supplier of IC test interface solutions, has plans to expand production capacity for high-frequency and high-speed IC test sockets in 2021 to meet robust demand fo......more
- Taiwan IC substrate makers doing well in China market
Taiwan's IC substrate makers Unmicron Technology, Nan Ya PCB, and Kinsus Interconnect Technology have seen their production lines in China running at full capacity to serve Chinese chipmakers, although PCB makers ......more
- Huawei ban has little impact on Taiwanese IC supply chain
When tough US sanctions against Huawei took effect in mid September, Taiwanese chip suppliers did lose massive orders from one of their biggest clients. But Taiwan's IC ecosystem has since seen the void fille......more
- Innolux to enter IC packaging field with FOPLP process
Panel maker Innolux is looking to venture into the IC packaging segment by converting its 3.5G LCD panel fab into an advanced packaging plant dedicated to FOPLP (fan-out panel level package) process, according to industry sourc......more
- Taiwan November manufacturing production index hits record
Taiwan recorded manufacturing production index (2016 as base year) of 124.97 for November 2020, hitting the highest monthly level by increasing 1.94% sequentially and 8.19% on year, according to the Ministry of Economic Affairs......more
- Major IC equipment, materials vendors deepening presence in Taiwan
Many international semiconductor equipment and materials suppliers have been stepping up investments or strengthening deployments in Taiwan seeking to better serve TSMC's ecosystem clustering at Southern Taiwan Science Park......more
- II-VI reportedly to join Apple supply chain
US-based II-VI is expected to supply VCSEL chips, which will be used in ToF LiDAR scanners for the next-generation iPhones set to be released next year, to Apple. Meanwhile, Taiwan server makers' shipments&nb......more
- IC equipment maker GP optimistic about 2021
Taiwan-based Group Up Industrial (GP), which specializes in PCB dry process and other semiconductor equipment, has seen clear order visibility through the second quarter of 2021 and is optimistic about its performance next......more
- Altek, Qualcomm to develop solution for ASE 5G mmWave smart factory
Imaging solution developer Altek and Qualcomm Technologies have cooperated to develop an AI+AGV (automated guided vehicle) automatic inspection solution for use in ASE's smart factory that features a mmWave 5G private ......more
- Backend firms see strong demand for DDI, NAND controller chips
Taiwan-based backend houses have seen strong demand for display driver ICs and NAND flash controller chips, with clear order visibility through the second quarter of 2021, according to industry sources. Display driver&n......more
- China IC foundry output to continue growth in 2021
China's IC industry will register another growth in output next year, driven by the country's efforts in boosting its IC self-sufficiency, as well as international chipmakers' intention of expand......more
- Taiwan IC design service, silicon IP providers to see revenues hit highs in 4Q20 and beyond
Many Taiwan-based IC design service and silicon IP providers are expected to see their revenues hit record highs in the fourth quarter of 2020 thanks to continued orders from Chinese IC design houses, accord......more
- IC distributors eyeing strong memory device demand in 2021
Taiwan-based IC distributors are poised to see their revenues continue to grow in 2021 on robust demand for IC parts, notably memory devices, in the coming year, according to industry sources. Major ......more
- Foundry capex to account for 34% of total semi capex in 2020, says IC Insights
Following spending of US$106.1 billion in 2018 and US$102.5 billion in 2019, worldwide semiconductor capex is expected to grow 6% to US$108.1 billion this year, according to IC Insights. The foundry segment is esti......more
- Fast-charge IC market in short supply
The fast-charge IC market has seen short supply partly because of tight capacity at foundries and fast growing demand from clients to support upgrades in chargers, according to industry sources. This wave of moment......more
- Smallest Quad-Output SIMO Power Management IC Achieves 85% Higher Power Density
The breakthrough MAX77655 single-inductor multiple output (SIMO) power management IC (PMIC) from Maxim Integrated Products, Inc. provides the highest-density power solution for extremely compact next-generation device......more
- Taiwan semi companies to see robust 2021
Taiwan-based semiconductor makers such as Unimicron and Kinsus are expected to see their orders for 5G handsets to pick up significantly in 2021 as demand for 5G phones is expected to be brisk. Meanwhile, UMC will als......more
- Global IC Sales Continue Their Dive
Global semiconductor sales continued to decline in the third quarter of 2019, despite growth signs in the memory market. Meanwhile, Intel retains top slot in global rankings, while Sony Semiconductor Solutions is a shining star......more
- AMD to have bigger presence in server market
AMD is posing a strong challenge to Intel in the server processor market, with its share in the segment standing a good chance of reaching 20% in 2021. In the memory sector, supply constraints in speciality DRAM ......more
- Micron production in Taiwan disrupted by outage
Production at one of Micron's fabs in Taiwan was disrupted by a power outage on December 3, but the company has maintained that operation of facilities has returned to normal. But how the Micron prodution disruption&nb......more
- DRAM to remain largest IC segment in 2020, says IC Insights
DRAM will generate the greatest sales revenue among all IC product categories in 2020, while NAND flash will outperform other sectors in terms of revenue growth, according to IC Insights. The top-five&nbs......more
- IC design houses eyeing lucrative IC demand from 5G phone sector
Taiwan's IC design houses are doubling down their efforts developing related ICs for 5G phones, as the global smartphone shipments powered by the 5G technology are set to boom in 2021, according to industry s......more
- Demand for ICs to stay robust in 2021, say sources
Taiwan-based IC distributors have enjoyed strong sales so far in 2020 thanks to clients' keen inventory preparations and Huawei's brisk short-term orders ahead of its trade ban by the US government, and the mo......more
- Taiwan backend firms, IC distributors to gain from fresh US ban on Chinese peers
The US Department of Commerce reportedly will place another 89 Chinese tech firms on its MEU (military end user) control list including JCET's subsidiary STATS ChipPAC and IC distributor Arrow Asia Pac in Hong Kon......more
- Advantech beefs up IoT solutions marketing in China
Industrial computing solution developer Advantech has enhanced marketing of IoT solutions in the China market, according to president Miller Chang for the company's embedded IoT business. With China's infrastructure ......more
- TrueLight ramping up proximity-sensor VCSEL chips
VCSEL maker TrueLight expects its shipments of VCSEL chips for proximity-sensor applications to grow significantly in the fourth quarter of 2020, driven by strong order pull-ins from the handset sectors in China and Korea,......more
- C Sun expects advanced IC packaging equipment to drive growth
Manufacturing equipment supplier C Sun expects equipment used in advanced IC packaging, mainly SiP (system in package), FO-WLP (fan-out wafer-level package) and CoWoS (chip-on-wafer-on-substrate), to be the major sour......more
- Global IC foundry output value to rise over 20% in 2020
Output value for the global IC foundry industry is forecast to surge more than 20% in 2020, and will register another on-year growth but at a slower pace in 2021, according to Digitimes Research. Digitimes Research......more
- Faraday supplies 28eHV memory compilers for mobile OLED driver IC
ASIC design service and IP provider Faraday Technology has announced its memory compilers based on UMC's 28nm embedded High Voltage (eHV) process technology have been subsequently used by major mobile OLED driver IC custome......more
- Sigurd counts on 5G chips for sustained growth in 2021
Testing house Sigurd Microelectronics is optimistic about its business outlook for 2021, as testing demand for related chips for 5G phone, networking, automotive and AI applications will remain strong in the coming year. ......more
- Top-15 semiconductor suppliers to see combined revenue increase 13% in 2020, says IC Insights
The world's top-15 semiconductor companies are forecast to see their combined sales increase 13% in 2020, slightly more than twice the expected total worldwide semiconductor industry growth of 6%, according to IC ......more
- Taiwan IC design houses enjoy pull-in of short lead-time orders from China
The US trade sanctions against Huawei and its chipmaking affiliate HiSilicon continue to benefit Taiwan's IC design sector in the fourth quarter of 2020, set to be a particularly strong fourth quarter for Taiwan-b......more
- Chinese IC vendors scramble for foundry support
For Chinese fabless IC vendors, tight foundry supply has been compounded by the fact that China-based SMIC has been blacklisted by the US. More Chinese IC vendors are now seeking support from Samsu......more
- Expanding FWA services to spur 5G CPE demand
A growing number of Taiwan-based network equipment suppliers have ramped up shipments of 5G CPE (customer premise equipment) products to the markets in Europe and the US starting third-quarter 2020 and are poised to further exp......more
- Wafer capacity by feature size shows strongest growth at sub-10nm
IC capacity for leading-edge (sub-10nm) processes is expected to grow and become the largest portion of monthly installed capacity across the industry beginning in 2024, according to IC Insights. Sub-10nm capa......more
- MediaTek to acquire assets related to PWM IC from Intel
MediaTek has announced on behalf of subsidiary Richtek Technology plans to acquire assets related to the power management solutions product line sold under the Enpirion brand owned by Intel and its subsidiaries. The transact......more
- Fan motor driver IC supplier Sentelic reports strong earning
Fan motor driver IC supplier Sentelic has reported strong earnings for the first three quarters of 2020, as the ongoing work-from-home activities have helped drive up demand for related MCUs and controller I......more
- TWS earbud chip prices falling fast
Demand for TWS earbuds may be robust, but prices for chips supporting these devices have been fallign fast, thanks to keen competition between IC design houses. Pricing for some TWS earbud chips has fallen b......more
- China 14th 5-year plan to see IC foundry capacity expand 40%
China's upcoming 14th five-year plan (2021-2025) will continue to highlight technology and capacity upgrades as the core of its semiconductor self-sufficiency strategy, with foundry capacity projected to expand 40% from the......more
- AI Chips Sector is Booming
Big, complex data sets, a growing list of commercial applications advanced by impatient consumers and widespread adoption of deep learning and neural networks are seen as accelerating the AI chips set at a roughly 40-percent an......more
- FocalTech raises TDDI and LCD driver IC prices 10%
FocalTech Systems has raised quotes for its TDDI chips and LCD driver ICs by 10%, and is mulling another double-digit price increase in the first half of 2021, according to industry sources. The price adjustments are to re......more
- How post-election US-China ties may affect Taiwan semiconductor sector
It remains uncertain how the outcome of the US presidential election may change the country's trade tensions with China, but semiconductor firms in Taiwan generally believe the American government is unlikely to change its ......more
- PWM IC in serious shortages
Tight foundry capacity has constrained semiconductor supply, with PWM ICs in particularly serious shortages. The IC shortages are delaying shipments of end-market devices that are badly needed to suppor......more
- Marvell Joins TSMC’s Big League Customers with 5G ICs
Taipei — Marvell, after reinventing itself as a supplier of data infrastructure silicon, has joined the upper echelon of Taiwan Semiconductor Manufacturing Co. (TSMC) customers by winning capacity allocation at the 5nm no......more
- IC Gear Forecast Dismisses Geopolitical Concerns
The semiconductor equipment sector is for now exhibiting remarkable resilience despite geopolitical concerns over an escalating U.S.-China technology cold war and a pandemic that shows no signs of abating. Indeed, ......more
- Deep Space Challenges Rad-Hard IC Development
One of the biggest challenges in spaceflight is how to deal with the effects of radiation on electronic components. Radiation-hardened (rad-hard) ICs are used in space applications to ensure the reliable performance of systems ......more
- Optoelectronics, Sensors/Actuators, Discretes Stabilize in Covid-19 Crisis
About eight months after the Covid-19 virus pandemic accelerated around the world—causing lockdowns in many countries and driving the global economy into a deep recession—sales of optoelectronics, sensors and actuat......more
- Non-Huawei Android phone makers piling up IC inventory
Handset brands including Samsung Electronics, Xiaomi, Oppo and Vivo have stepped up their purchases of IC parts as they aim to take over the smartphone market share relinquished by Huawei in the wake of the US trade s......more
- Taiwan GaAs supply chain, IDMs gearing up for Wi-Fi 6 boom
The Wi-Fi 6 penetration rate is likely to advance to 50-60% in 2021 with the latest wireless communication standard increasingly adopted for handsets, routers and notebooks, prompting Taiwan's IC designers and GaA......more
- Fire strikes Unimicron IC substrate plant in northern Taiwan
Unimicron Technology's IC substrate plant in Taoyuan, northern Taiwan caught fire on the afternoon of October 28. The site is where the company produces flip-chip chip-scale packaging (CSP) substrates. The fire......more
- C Sun aims to raise revenue proportion for IC packaging equipment in 2021
C Sun aims to increase the proportion of consolidated revenues for advanced IC packaging equipment to 30% in 2021, according to company chairman Morris Liang. C Sun currently has 45% of consolidated revenues coming from PCB ......more
- IC designers see swelling China demand for image processing chips
Taiwan IC designers have seen orders swelling from China for imaging processing chips for surveillance, video conferencing and face ID applications as major Chinese chip maker HiSilicon under Huawei has stopped produc......more
- IC substrate maker Kinsus to see revenue peak in 4Q20
IC substrate maker Kinsus Interconnect Technology is set to see its revenues peak for 2020 in the fourth quarter, buoyed by shipments for new iPhones, according to market sources. Kinsus saw its third-quarter 2020 reven......more
- Taiwan makers urged to build high-end IC substrate ecosystem
A sound ecosystem for high-end IC substrates has to be built in Taiwan as such substrates will still have a big role to play in backend services despite the growing demand for substrate-free advanced packaging solutio......more
- Taiwan driver IC backend houses gaining from Samsung exit from LCD
Taiwan's driver IC backend firms have seen small-volume rush orders as a result of Samsung Electronics' gradual exit from the LCD panel market, according to industry sources said. Samsung's purchases of......more
- IC designers have mixed feelings about good sales of iPhone 12 series
Taiwanese IC design houses have mixed feelings about good sales of the new iPhone series, which could limit sales for 5G Android phones in the short term, according to industry sources. Strong iPhone sales will onl......more
- Taiwan IC design houses eyeing automotive ASICs
Taiwan-based IC design houses are eyeing the growing demand for car-use ASICs, with some having won orders from automakers or their supply chain partners, according to industry sources. MediaTek has introduced Autu......more
- A major IC industry cluster formed in southern Taiwan
One of the world's main IC industry clusters is being formed at the Southern Taiwan Science Park (STSP), where TSMC operates its major manufacturing site for 5nm process technology. TSMC has also disclosed plan......more
- IC design house Sentelic plans Taiwan OTC listing in November
Sentelic, which specializes in fan motor controller ICs for servers, will be listed on the Taiwan over-the-counter (OTC) stock market in mid- or late-November. Sentelic has enjoyed robust demand for servers so far ......more
- IC packaging material firms see clear order visibility
Taiwan's IC packaging materials suppliers, including Niching Technology and Chang Wah Technology (CWTC), have seen their order visibility improve significantly, according to industry sources. Many IC ......more
- Taiwan IC design houses gearing up for 5G smartphone market boom
Taiwan-based IC design houses are gearing up for a boom in 5G smartphone shipments starting the fourth quarter of 2020, according to industry sources. Global 5G smartphone shipments will peak for 2020 in the fourth......more
- IC substrate suppliers eyeing strong SiP demand for AirPods
The market for system-in-package (SiP) substrates is already tight and will become tighter as Apple is looking to adopt SiP technology in more of its AirPods series, according to sources at Taiwan-based IC substrate s......more
- China to have 22% of foundry market in 2020, says IC Insights
Despite the COVID-19 shutdown of the Chinese economy earlier this year, China's share of the pure-play foundry market is forecast to be 22% in 2020, 17pp higher than it registered in 2010, according to IC Insights......more
- Bluetooth earphone sales to benefit from iPhone 12 series
Apple's decision of not providing wired earphones for its iPhone 12 series is expected to create a wave of Bluetooth earphone demand, according to sources from the upstream supply chain. At the moment, Bluetooth earphone......more
- China 14th 5-year plan to see IC foundry capacity expand 40%
China's upcoming 14th five-year plan (2021-2025) will continue to highlight technology and capacity upgrades as the core of its semiconductor self-sufficiency strategy, with foundry capacity projected to expand 40% from the......more
- Taiwan IC design houses see orders pull in from China
Taiwan-based IC design houses are expected to enjoy a particularly robust fourth-quarter 2020, thanks to a strong pull-in of short lead-time orders from their China-based clients, according to industry sources. The......more
- PWM IC designers see record sales in September revenues
Power management IC designers Leadtrend Technology, Fitipower Integrated Technology, Weltrend Semiconductor and Global Mixed-mode Technology (GMT) have reported consolidated revenues of NT$143 million (US$4.9 million)......more
- China IC design industry to generate over CNY350 billion in 2020
China's IC design industry is forecast to generate more than CNY350 billion (US$51.1 billion) in sales in 2020, representing a CAGR of 22% from 2016 to 2020 - the timeframe of the country's 13th Five-Year Plan......more
- IC test interface vendors see strong demand for GPUs, 5G SoCs
Taiwan-based IC test solutions providers including Chunghwa Precision Test Tech (CHPT), Keystone Microtech and WinWay Technology have enjoyed a pull-in of short lead-time orders for GPUs and continued strong demand fo......more
- WeMo Scooter, Taiwan Mobile cooperate in PM2.5 mobile sensing
Electric scooter sharing service operator WeMo Scooter and Taiwan Mobile has cooperated to equip the former's bikes with the latter's high-accuracy PM2.5 sensors to dynamically collect real-time air pollution data in Ka......more
- CXMT reportedly in the crosshairs
The US is apparently turning the heat up on China's semiconductor industry. China's fledgling memory industry may become the next tagret for US sanctions. The US-China trade war has already sent many Taiwanese......more
- UMC-affiliated IC design firms queuing up for capacity support
Many Taiwan-based IC design houses affiliated with United Microelectronics (UMC) are facing the pressure of being marginalized in scrambling for the firm's foundry support, as major foreign chipmakers are aggressi......more
- IC substrate makers to stay put in Taiwan for capacity expansions
Taiwan-based IC substrate makers including Unimicron Technology, Nan Ya PCB and Kinsus Interconnect Technology are being discouraged from making further investments in China by the escalating US-China trade disputes. The thr......more
- IC design houses optimistic about 4Q20 outlook
Most of Taiwan's IC design houses are expected to see strong sales for September, and they are generally optimistic about their business outlook for the fourth quarter of 2020 thanks to clear order visibility......more
- Global IC foundry output to surge 17% in 2020
The global IC foundry industry output is forecast to increase 17% to US$70 billion in 2020, and rise another 6.8% in 2021, according to Digitimes Research's latest report. Despite the coronavirus pandemic and US-China tr......more
- digitalPMRExpo 2020 24 - 26 November 2020 / Online
The PMRExpo will take place virtually this year - experience the digitalPMRExpo2020 and actively shape it with us! COVID-19 has turned t......more
- EXPO COMM Wireless Japan
Wireless × IoT vendors that drive DX-digital transformation! We aim to be a specialist exhibition that proposes the latest technologies, products/services, and solutions. Wireless Japan is a B2B exhibition wher......more
- IC analyzer MA-tek posts 20% revenue growth in 1H20
IC analysis and certification lab Material Analysis Technology (MA-tek) saw its revenue climb 20.2% from a year earlier to NT$1.42 billion (US$48.3 million) in the first half of 2020, and expects stronger growth momentum f......more